完整word微機原理及接口技術(shù)期末復習資料重點歸納推薦文檔_第1頁
完整word微機原理及接口技術(shù)期末復習資料重點歸納推薦文檔_第2頁
完整word微機原理及接口技術(shù)期末復習資料重點歸納推薦文檔_第3頁
完整word微機原理及接口技術(shù)期末復習資料重點歸納推薦文檔_第4頁
完整word微機原理及接口技術(shù)期末復習資料重點歸納推薦文檔_第5頁
已閱讀5頁,還剩13頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、微機重點總結(jié)第一早2、算數(shù)邏輯運算單元;3、控制器(指令寄存器、指令譯碼器和各種定時與控制信號產(chǎn)生電路);計算機中數(shù)的表示方法:真值、原碼、反碼(-127+127)、補碼(-128- +127)、BCD碼,1000的原碼為-0,補碼為4、現(xiàn)代微處理器中還集成了浮點運算部件及高速緩沖寄-8,反碼為-7oASCII碼:7位二進制編碼,空格20,回車0D,換行0A,存器cacheo8086/8088微處理器結(jié)構(gòu):0-9 (30-39), A-Z (41-5A) , a-z (61-7A) o廠段寄存器(CS SS DS ES模型機結(jié)構(gòu)介紹1、程序計數(shù)器PC 4位計數(shù)器,每次運行前先復位至0000,取

2、出一條指令后PC自動加1,指向下一條指令;2、存儲地址寄存器MAR:接收來自PC的二進制數(shù),作為地址碼送入存儲器;3、可編程只讀存儲器P ROM4、指令寄存器IR:從PROM接收指令字,同時將指令字分別送到控制器CON和總線上,模型機指令字長為8位, 高4位為操作碼,低4位為地址碼(操作數(shù)地址);5、控制器CON: (1)每次運行前con先發(fā)出CLR=1使有關(guān)部件清零,此時 PC=0000, IR=0000 0000; (2) con有一個同步時鐘輸出,發(fā)出脈沖信號CLK到各部件,使它總線接口單元BIU (完成r取指令和存%取數(shù)據(jù))指令指針寄存器IP地址加法器指令隊列內(nèi)部控制邏輯8086/80

3、88CPU V執(zhí)行單元EU(負責分析指令和執(zhí)行指令)執(zhí)行部件EU的組成:I輸入/輸出控制電路算術(shù)邏輯單元ALU寄存器組標志寄存器FR暫存器1、ALU (算術(shù)邏輯單元);2、寄存器組:們同步運行;(3)控制矩陣CM根據(jù)IR送來的指令發(fā)出12 位控制字,CON=CEpLmEr LEiLAEa SjEjLbIo;6、累加器A:能從總線接收數(shù)據(jù),也能向總線送數(shù)據(jù), 其數(shù)據(jù)輸出端能將數(shù)據(jù)送至ALU進行算數(shù)運算(雙態(tài),不(1)通用寄存器:4個16位通用寄存器(AX、BX CXDX)或 8 個 8 位寄存器(AL、AH、BL、BH、CL、CH DL、受E門控制);DH),其中AX為累加器,BX為基址寄存器,

4、CX為計數(shù)寄7、算數(shù)邏輯部件ALU當Su=0時,A+B,當3 = 1時,A-B;&寄存器B:將要與A相加或相減的數(shù)據(jù)暫存于此寄存存器,DX為數(shù)據(jù)寄存器;(2)專用寄存器:兩個16位指針寄存器SP和BP,兩個器,它到ALU的輸出也是雙態(tài)的;16位變址寄存器SI和DI,其中,SP是堆棧指針寄存器,9、輸出寄存器O:裝入累加器A的結(jié)果;由它和堆棧段寄存器 SS 一起來確定堆棧在內(nèi)存中的位10、二進制顯示器Do置,BP是基址指針寄存器,通常用于存放基地址,SI是中央處理器CPUPC IR con ALU、A B;存儲器:原變址寄存器,DI是目的變址寄存器,都用于指令變址尋MAR、PROM 輸入/輸出系

5、統(tǒng):0、Do址方式;執(zhí)行指令過程:指令周期(機器周期)包括取指周期和執(zhí) 行周期,兩者均為3個機器節(jié)拍(模型機),其中,取指周期的3個機器節(jié)拍分別為送地址節(jié)拍、讀存儲節(jié)拍和增量節(jié)拍??刂破鳎涵h(huán)形計數(shù)器(RC、指令譯碼器(ID)、控制矩陣(CM)、其他控制電路。微型計算機硬件基本結(jié)構(gòu):算術(shù)邏輯單元ALU、控制器、存儲器、輸入/輸出設備。微型機工作原理:存儲程序,按地址順序執(zhí)行。第二章AHALBHBLCHCLDHDLSPBPSIDIAXDX專用寄存器BX通用寄存器CX微處理器基本結(jié)構(gòu)和功能:1、內(nèi)部寄存器陣列(通用寄存器和專用寄存器)(3)標志寄存器FR為16位寄存器,其中7位未使用,使用的9個標志

6、位可分為兩類:狀態(tài)標志(CF PF、AF、ZF SF OF),控制標志(TF、IF、DF ,任何一個存儲單元的20位實際地址稱為物理地址,又稱 絕對地址,同一物理地址可以有不同的段地址和偏移量。2、邏輯地址:OFDFIFTFSF ZF|af|PFCF15-1211109876543210段地址:偏移地址 CF-進位標志位:做加法最高位有進位或減法最高位 程序中出現(xiàn)的地址,由段地址和段內(nèi)偏移量組成,段地址 和段偏移量都是16位二進制數(shù)。有借位時為1反之為0;3、一般程序存放在代碼段中,段地址來源于代碼段寄存 P奇偶標志位:運行結(jié)果低 8位中1的個數(shù)為偶數(shù)時為1,反之為0; 器CS偏移地址來源于指

7、令指針寄存器 IP;當涉及一個 堆棧操作時,段地址在堆棧段寄存器 SS中,偏移地址來 AF半進位標志位:低四位有向高四位的進位或借位 源于棧指針寄存器SP;當涉及一個操作數(shù)時,則數(shù)據(jù)段時為1,反之為0;ZF-零標志位:運算結(jié)果為0時置1;S符號標志位:與運算結(jié)果最高位相同;OF溢出標志位:字節(jié)運算結(jié)果范圍超過-128+127寄存器DS或附加段寄存器ES作為段寄存器,而偏移地址由16位的偏移量得到,16位的偏移量取決于指令的尋址方式。4、采用段寄存器的優(yōu)點:或者字運算結(jié)果范圍超出-32768+32767時置1,溢出判(1)、解決了 16位寄存器如何訪問大于64KB內(nèi)存空間的問題;斷:同符號數(shù)相加

8、,結(jié)果的符號位與之不同(符號位發(fā)生變化);(2)、可以實現(xiàn)程序的重定位。TI陷阱標志位:置1時80888088進入單步工作方式,通常用于程序調(diào)試;IF中斷允許標志位:置1時處理器響應可屏蔽中斷;DF方向標志位:置1時串操作指令的地址修改為自動減量方向??偩€接口部件BIU的組成:1、段寄存器:4個16位段寄存器DS (數(shù)據(jù)段寄存器)、CS (代碼段寄存器)、ES(附加段寄存器)、SS(堆棧段寄存器);2、16位指令寄存器IP: CPU每取一個指令字節(jié),IP自動總線:總線是傳送信息的公共導線,一般由地址總線、數(shù) 據(jù)總線和控制總線組成;地址信息;2、數(shù)據(jù)總線(DB)是雙向總線,可以從CPU傳送數(shù)據(jù)信

9、息到外設和主存,也可以從主存和外設向CPU傳送數(shù)據(jù);3、控制總線(CB),其中每根線上的方向是一定的,它們分別傳送控制信息、時序信息和狀態(tài)信息。8086/8088微處理器的工作模式:1、地址總線(AB),般是單向總線,傳送 CPU發(fā)出的3、4、1, IP總是指向下一條要取出的指令代碼的首地址;20位地址加法器;6字節(jié)(8088為4字節(jié))指令隊列緩沖器。1、最小工作模式MN/MX=Vcc:(單CPU系統(tǒng))系統(tǒng)中只 有一個8086/8088微處理器,所有的總線控制信號都直接 由 8088/8086產(chǎn)生。BIU與EU的動作協(xié)調(diào)原則:BIU和EU是并行工作的,按2、最大工作模式 MN/MX=GND(多

10、CPU系統(tǒng)):8086/8088流水線技術(shù)原則管理由8288供給。要通過總線控制器8288來形成各種總線周期,控制信號1、當8086指令隊列中有兩個空字節(jié)(8088中一個)時,BIU自動把指令取到隊列中;2、EU從指令隊列取指,執(zhí)行,執(zhí)行過程中如要訪問存儲 器或I/O,而此時BIU正在取指,完成取指后響應EU的總 指令周期、總線周期、時鐘周期:1、指令周期:執(zhí)行一條指令所需要的時間,執(zhí)行每一條線請求;指令的時間不同;3、指令隊列已滿,EU又沒有總線訪問,BIU進入空閑狀2、總線周期:訪問一次總線的時間,CPU從存儲器或I/O態(tài);端口存取一次所需要的時間,一個基本的總線周期由4、執(zhí)行轉(zhuǎn)移、調(diào)用和

11、返回指令時,指令隊列中的原有內(nèi) 個T狀態(tài)T1、T2、T3、T4組成,基本總線周期包括存儲器容自動消除,BIU往指令隊列中裝入另一程序段中的指令。 的讀或?qū)?,輸?輸出的讀或?qū)?,中斷響應,若存儲器或存儲器組織:外設速度較慢,不能及時送上數(shù)據(jù)(T3狀態(tài)數(shù)據(jù)沒準備1、物理地址:好),則通過READYS通知CPU CPU在T3前沿檢測READY物理地址=段地址X 16偏移量若READY=0則在T3結(jié)束后自動插入1個或幾個Tw,并在每個Tw的前沿處檢測READ丫等到RAEDY變高后,自有效(總線保持請求信號);最大工作模式的2431引腳:動脫離Tw進入T4;3、時鐘周期:T狀態(tài),是微機系統(tǒng)工作的最小時間

12、單位, 取決于系統(tǒng)的主頻率,系統(tǒng)完成任何操作所需要的時間均& HLDA( RQ/GT1):同意讓出總線信號,輸出,高電平 有效(總線保持響應信號)。1、QS1 和 QS2,2、S2、S1 S0:指令隊列狀態(tài)信號,輸出總線周期狀態(tài)信號,輸出,三態(tài);是時鐘周期的整數(shù)倍。8086/8088引腳信號和功能:8086/8088都有16位數(shù)據(jù)線,20位地址線,直接尋址能力為1MB,引腳數(shù)為40,其中 32個引腳在兩種工作模式下的名稱和功能是相同的,還 有8個引腳在不同的工作模式下,具有不同的名稱和功3、LOCK總線封鎖信號,輸出,三態(tài),低電平有效;4、RQ/GTi、rq/Gtq:雙向總線請求/允許信號,雙

13、向。 總線空操作:CPU與存儲器或I/O端口之間沒有數(shù)據(jù)傳送,能。雙功能引腳的功能轉(zhuǎn)換,一是通過分時復用,即同一 總線空操作并不意味著 CPU不工作,只是總線接口部件引腳在總線的不同時鐘周期內(nèi)其功能不同;二是根據(jù)工作BIU不工作,總線執(zhí)行部件EU仍在工作,實質(zhì)上,總線模式定義引腳的功能??詹僮髌陂g是BIU對EU的一種等待。兩種模式下,名稱和功能相同的 32個引腳:地址線為什么需要鎖存:由于8086系統(tǒng)的地址的低161、Vcc GND 單一 +5V電源,兩個地;位與數(shù)據(jù)引腳公用,地址信號與數(shù)據(jù)信號是分時復用這些2、ADi5AD3:地址/數(shù)據(jù)復用總線,雙向,三態(tài)(8088 中A15A8不復用,輸出

14、,三態(tài)):引腳的。這樣先送出的地址信號可能被后送出的數(shù)據(jù)信號 所代替,因此要有一個地址鎖存器來保存先送出來的地址3、A19/S6A16/S3 :地址/狀態(tài)線復用,輸出,三態(tài);信息。鎖存器主要用來鎖存地址的低 16位。4、NMI:非屏蔽中斷,輸入,高電平有效,INTR可屏蔽中斷,輸入,高電平有效;5、RD:讀信號,輸出,三態(tài),低電平有效;6、CLK時鐘信號,輸入;7、RESET復位信號,輸入(至少保持4個時鐘周期的高 電平),復位信號輸入后,CPU立即停止操作,清FR,DSES SS IP及指令隊列,同時置 CS為0FFFFH當RESET第三章機器指令:1、操作碼表示該指令所要完成的操作(二進制

15、代碼)2、地址碼一操作數(shù)或操作數(shù)的地址。8086/8088匯編語言指令:標號指令助記符操作數(shù)表;注釋 指令的尋址方式:變?yōu)榈碗娖綍r,CPU從FFFF0單元開始啟動;& READY “準備好”信號,輸入;1、順序?qū)ぶ贩绞剑?、跳轉(zhuǎn)尋址方式。8086/8088操作數(shù)的尋址方式:9、TEST測試信號,輸入,低電平有效;10、MN/MX:最小/最大模式控制引腳,輸入,MN/MX=VCC時為最小工作方式(單 CPU), mn/MX=gnd時為最大工1、立即數(shù)尋址:MOV AL,80HMOV AX,1090H操作數(shù)就包含在指令當中,緊跟在操作碼之后;立即數(shù)為作方式(多CPU:11、BHE/s :高8位數(shù)據(jù)

16、線允許/狀態(tài)信息復用引腳,輸 常量,常量可以是二進制數(shù)、十進制數(shù)、十六進制數(shù)(以AF開頭則要加0)、字符串(用單或雙引號括起的字符,出。表示對應的ASCI碼值,如41H,還可以是標識符表示最小工作模式的2431引腳(括號中是最大工作模式下的 的符號常量、數(shù)值表達式等;立即數(shù)可以是 8位、16 位;引腳功能):1、INTA(QSi):中斷響應,輸出,三態(tài),低電平有效, 該信號為兩個連續(xù)負脈沖;2、ALE( Qa:地址鎖存允許信號,輸出,三態(tài),高電平有效;立即數(shù)只能是整數(shù),不能是小數(shù)、變量或其它類型數(shù)據(jù);立即數(shù)只能作原操作數(shù)。2、寄存器尋址:INC CXROL AH,1MOV AX,BXMOV A

17、X,1090H3、DFN(9):數(shù)據(jù)允許信號,輸出,三態(tài),低電平有效: 4、DT/R(S1):數(shù)據(jù)發(fā)送/接收控制,輸出,三態(tài);寄存器尋址方式的操作數(shù)存放在CPU內(nèi)部的寄存器中,它可以是8位寄存器AH/AL/BH/BL/CH/CL/DH/DL也可以5、M/IO (S2):存儲器/IO控制,輸出,三態(tài)(8088中為 是16位寄存器AX/BX/CX/DX/SI/DI/BP/SP另外,操作數(shù)M/IO):6、WR(LOCK:寫信號,輸出,三態(tài),低電平有效;還可以存放在4個段寄存器CS/DS/SS/ESK因為操作數(shù)存放在CPU內(nèi)部,取操作數(shù)時不需要訪問存儲器,因而7、HOLD( RQ/GT0 :請求占用總

18、線信號,輸入,高電平 執(zhí)行速度較快;在一條指令中,可以對源操作數(shù)采用寄存器尋址,也可以對目標操作數(shù)采用寄存器尋址, 還可以兩 的內(nèi)容加上一個變址寄存器(SI或DI)的內(nèi)容,作為操作者都采用寄存器尋址方式;在雙操作數(shù)指令中,操作數(shù)之數(shù)的有效地址,即為基址加變址尋址方式,如:一必須是寄存器尋址,匯編語言在表達寄存器尋址時使用寄存器名。其實質(zhì)就是指它存放的內(nèi)容(操作數(shù))MOV AX,BX+SI原操作數(shù)物理地址=(DSX 16+(BX)+S;I3、存儲器尋址:操作數(shù)存放在主存儲器中,指令中給出 的是有關(guān)操作數(shù)所在存儲器單元的地址信息。當基址寄存器為BP時,默認的段地址寄存器為 SS 如:MOV AX,

19、BPSI(1)直接尋址:(5)相對基址變址尋址:基址加變址尋址加上一個相對位移量,如:MOV AX,DS:2000H;等價于 mov ax,2000HMOV ES,ES:3OOOH物理地址=(es“ 16+3OOOH操作數(shù)地址的16位偏移量(有效地址)直接包含在指令中,它與操作碼一起存放在代碼段區(qū)域,操作數(shù)一般存放MOVMOVMOVAX,MASKBXSIBH,4DIB PBH,B P+DI+4常用語法規(guī)則:立即數(shù)不能作目的操作數(shù);在數(shù)據(jù)段區(qū)域,系統(tǒng)默認DS為數(shù)據(jù)段寄存器;8086/8088 中允許段超越,還允許操作數(shù)放在代碼段、堆棧段或附加段中,此時要在指令中,指明段超越,格式為代碼段寄存器C

20、S不能作目的操作數(shù);兩個操作數(shù)不能同時是存儲器尋址;堆棧操作都是十六位的操作;段寄存器:偏移地址與立即數(shù)尋址不同的是,直接尋址的地址要放在方括號內(nèi)。兩個操作數(shù)的類型必須匹配;(2)寄存器間接尋址:的有效地址包含在S、操作數(shù)在存儲器中,但是操作數(shù)DI、BP、BX四個寄存器中,如沒8086)6088寄存器間接尋址地址是 BX BP、S、DI之一;數(shù)據(jù)傳送類指令:有特殊說明,用寄存器S、DI和BX間接尋址時,對應的1、通用數(shù)據(jù)傳送指令:段寄存器是DS如:MOV OP RD1,O PRD2MOV AX,SI功能:把一個字節(jié)或一個字從源操作數(shù)PORD2送至目的操作數(shù)OP RD1源操作數(shù)不改變;注意事項:

21、假設(S) =2OOOH,原操作數(shù)物理地址=(DS)X 16+ (S)=32OOOH;如果用寄存器BP間接尋址時,對應的段寄存器是SS如:MOV AX,BP(1)原和目的操作數(shù)的類型要一致,即同時為字節(jié)或同 時為字;原操作數(shù)物理地址=(SS X 16+ (BP);(2)不允許對IP進行操作,CS不能作為目的操作數(shù);寄存器間接尋址指令中也可以使用段超越,如:MOV AX,DS:BP(3)兩個操作數(shù)中,除立即尋址外必須有一個為寄存器 尋址,即兩個存儲器操作數(shù)之間不允許直接進行信息傳原操作數(shù)物理地址=(DS)X 16+ (BP ;送;(3 )寄存器相對尋址:操作數(shù)在存儲器中,由指定的寄(4 )兩個段

22、寄存器之間不能直接傳遞信息,也不允許用立即數(shù)尋址方式為段寄存器負初值;(4)目的操作數(shù)不能用立即尋址方式;MOV可以實現(xiàn)的傳送:存器內(nèi)容,加上指令中給出的8位或16位偏移量作為操 作數(shù)的有效地址,即帶位移量的寄存器間接尋址;可以作 為寄存器相對尋址的四個寄存器是 S、DI、BX BP (同寄存器間接尋址),若用S、DI和BX作寄存器相對尋址,貝U 操作數(shù)默認在數(shù)據(jù)段,如:MOV AX,SI+4OOOH原操作數(shù)物理地址=(DSX 16+(SI)+4OOOH指令中可以使用段超越,若用 BP作為寄存器相對尋址立即數(shù)立即數(shù)寄存器寄存器寄存器存儲單元存儲器段寄存器存儲器則SS為默認的段寄存器地,如:MO

23、V AX,COUNTB P2、交換傳送指令:XCHG原操作數(shù)物理地址=(SSX 16+(B P)+COUNT(4)基址加變址尋址:把BX和 BP看作基址寄存器,把OP RD1,O PRD2功能:操作數(shù)OPRD1的內(nèi)容與操作數(shù)OPRD2的內(nèi)容交換;S、DI看作變址寄存器,把一個基址寄存器(BX或BP)OPRD1和OPRD2可以是通用寄存器和存儲單元(兩個操作數(shù)不能同時為存儲單元),可以采用除立即數(shù)尋址外的各種尋址方式,但段寄存器和立即數(shù)不能作為交換指令的一個操作數(shù)。指向的單元),不影響標志位。(4)標志寄存器出棧指令:POPF3、堆棧操作指令(后進先出)(1)入棧指令:功能:將堆棧頂部(SP指向

24、單元)的一個字,傳送到標 志寄存器,堆棧SP+ASPoPUSH OPRD7、輸入/輸出數(shù)據(jù)傳送指令:(1)輸入指令:功能:SP-ASP,將原操作數(shù)OPRD的16位數(shù)據(jù)壓入堆棧;步驟:SP-ASP操作數(shù)低8位送至SP所指向的堆棧單元;操作數(shù)高8位送至SP+1所指向的堆棧單元;IN累加器,端口地址功能:從一個端口讀取一個字節(jié)或一個字,傳送到AL或AX;注意事項:OPRD可以是CPU內(nèi)部的16位通用寄存器、段寄存器(CS除外)和內(nèi)存操作數(shù)(所有尋址方式),入 注意事項:端口地址可以直接給出或由 DX寄存器間接給棧操作對象必須是16位數(shù)。(2)出棧指令:出;外部設備最多可有65536個I/O端口( 0

25、000FFFFH,只有前256個端口可在指令中直接給出(00FFH),若端POP OPRD口地址超過255時,則必須用DX保存端口地址。(2)輸出指令:功能:從堆棧中彈出16位數(shù)據(jù)到目的操作數(shù)OPRD,SP+2OUT端口地址,累加器步驟:SP所指向的堆棧單元的內(nèi)容送至操作數(shù)低 8位; 功能:將AL中的一個字節(jié)或AX中的一個字輸出到指定端SP+1所指向的堆棧單元的內(nèi)容送至目的操作數(shù)高8位;SP+A SPo口;傳送類指令不影響標志位;與I/O端口打交道的寄存器有4、有效地址傳送指令:累加器AX,寄存器DX, AX存放與外部設備交換的數(shù)據(jù),LEA REG,OPRDDX存放端口地址。功能:把操作數(shù)的有

26、效地址傳送到操作數(shù) REG寄存器(REG為16位通用寄存器);LEA與MOV的區(qū)別是為:LEA傳送原操作數(shù)的有效地址,MOV傳送原操作數(shù)的內(nèi)容。5、換碼指令:XLAT算數(shù)運算類指令:1、加法指令(1)加法指令:ADD OP RD1,O PRD2功能:OP RD伯OP RD1+ OP RD2完成兩個操作數(shù)相加,結(jié)果送至目的操作數(shù)OPRD1原操作數(shù)不變;功能:完成一個字節(jié)的查表轉(zhuǎn)換;表的內(nèi)容預先已經(jīng)存在,表的首地址存放于 BX寄存器, 指令的運行結(jié)果對標志位 CF OF、PF、SF和AF產(chǎn)生影響。(2)帶進位加法指令:AL存放相對于表首地址的位移量,該指令執(zhí)行后(BX+AL 單元的內(nèi)容送至ALoA

27、DC 0P RD1,O PRD2功能:OPRD伯OPRD1+ OPRD2+CFADC指令主要用于多6、標志寄存器傳送指令(1)讀取標致指令:LAHF字節(jié)運算中。(3)增量指令:INC OPRD功能:將標志寄存器中的低8位(包括SF ZF、AF、PF、CF)傳送至AH寄存器的指定位,空位沒有定義。 功能:操作數(shù)OPRD的內(nèi)容加1,結(jié)果送回OPRD 此指令主要用于在循環(huán)程序中修改地址指針和循環(huán)次數(shù)(2)設置標志指令:等,該指令執(zhí)行的結(jié)果影響標志位 AF、OF、PR SF和ZF,SAHF功能:將寄存器AH的內(nèi)容送至標志寄存器FR的低8位, 根據(jù)AH的內(nèi)容,影響標志位SF ZF、AF、PF和CE對OF

28、 DF、IF無影響。(3)標志寄存器入棧指令:PU SHF不影響進位標志CFo2、減法指令(1)減法指令:SUB OPRD1,OPRD2功能:OPRD1OPRD1-OPRD2目的操作數(shù)減去原操作數(shù),結(jié)果送到目的操作數(shù),原操作數(shù)不變,本指令對標志位AF、CF OF、PF SF和ZF都有影響。功能:堆棧指針SP-ASP,將標志寄存器壓入堆棧頂部(SP(2)帶借位的減法指令:字擴展指令CWD:寄存器AX中的符號擴展到寄存器SBB 0PRD1,0PRD2功能:0P RD伯0P RD1- 0P RD2-CF目的操作數(shù)減去原操DXo若AX的最高有效位為0,則DX=0,否則DX=0FFFFH邏輯運算與移位指

29、令:1、邏輯運算指令作數(shù),同時還要減去借位(進位)標志 CF的現(xiàn)行值,結(jié)果送到目的操作數(shù),原操作數(shù)不變,本指令對標志位AF、(1)邏輯“與”指令:(3)減量指令:AND 0P RD1,0 PRD2CF OF、PF、SF和ZF都有影響。功能:兩個操作數(shù)進行按位的邏輯“與”運算,結(jié)果送到DEC OPRD功能:操作數(shù)0PRD的內(nèi)容減1,并把結(jié)果送回0PRD 目的操作數(shù) OPRD1 CF=Q OF=0,結(jié)果影響ZF SF PF;對自身的“與”操作作用是清標志位CF和OF,自身內(nèi)容執(zhí)行結(jié)果不影響CE但影響其它五個狀態(tài)標志。不變。(4)取補指令:NEG OPRD(2)邏輯“或”指令:0R 0PRD1,0P

30、RD2功能:對操作數(shù)取補碼,將結(jié)果送回操作數(shù) 0P RD中,實 功能:兩個操作數(shù)進行按位的邏輯“或”運算,結(jié)果送到際上是用0減去操作數(shù),執(zhí)行結(jié)果影響標志位 AF、CF 目的操作數(shù) 0PRD1 CF=0 0F=0,結(jié)果影響ZF SF PF。OF、PF、SF和 ZF, 般總是使標志位 CF=1除非在操作 數(shù)為0時,才使CF=0(3)邏輯“非”指令:N0T 0PRD(5)比較指令:CMP OP RD1,0 PRD2功能:操作數(shù)OPRD1減去OPRD2運算結(jié)果不送到OPRD1不影響兩個操作數(shù),但影響狀態(tài)標志。3、乘法和除法指令功能:把操作數(shù)OPRD按位取反,結(jié)果送回OPRD對標志位沒有影響。(4)邏輯

31、“異或”指令:XOR OPRD1,0PRD2功能:兩個操作數(shù)進行按位的邏輯“異或”運算,結(jié)果送(1)無符號數(shù)乘法指令MUL和帶符號數(shù)乘法指令I(lǐng)MUL: 至U目的操作數(shù) 0PRD1 CF=Q OF=0,結(jié)果影響ZF、SFMUL OPRDIMUL OPRDPF。(5)邏輯測試指令:功能:如果OPRD是字節(jié)操作數(shù),則 AL的內(nèi)容與OP RD相乘的16位結(jié)果送到AX中;如果OPRD是字操作數(shù),則AX的內(nèi)容與OPRD相乘32位的結(jié)果送到DX和AX中,DXTEST OPRD1,0PRD2功能:兩個操作數(shù)進行按位的邏輯“與”運算,結(jié)果不送中是咼16位,AX中是彳氐16位。到目的操作數(shù)0PRD1僅僅影響標志位

32、,通常用于檢測某注意事項:如果乘積結(jié)果的高半部分(字節(jié)相乘時為AH,字相乘時為DX不等于零,貝際志CF=1,OF=1;否則CF=0OF=Q對其它標志(該指令無定義),所以,如果OF=Q表示AH或DX中的結(jié)果數(shù)無效。(2)無符號數(shù)除法指令DIV和帶符號數(shù)除法指令DIV OPRDIDIV OPED功能:如果OPRD是字節(jié)操作數(shù),則AX的內(nèi)容除以位是否為1,但又不改變原來值的場合。CF=Q(1)算數(shù)/邏輯移位指令:SALOP RD,CNT;算數(shù)左移IDIV:SHLOP RD,CNT;邏輯左移SAROP RD,CNT;算數(shù)右移OP RD8SHROP RD,CNT;邏輯右移2、移位指令1位的商送到AL中

33、,8位余數(shù)送到AH中;如果OPRD是字 操作數(shù),則DX中(高16位)和AX中(低16位)的內(nèi)CNT為移位位數(shù),可以是時,移位位數(shù)需存放在CL寄存器中或寄存器CL,當需要移多位容除以OPRD 16位的商送到 AX中,16位的余數(shù)送到 DX中o注意事項:除法指令對標志位的影響無定義;如果除數(shù)為0,或者8位數(shù)除時商超過8位,或者16位除時商超過16位,則認為是溢出,引起0號中斷。(3) 符號擴展指令字節(jié)擴展指令CBW寄存器AL中的符號擴展到寄存 器AHo若AL的最高有效位為0,則AH=0,否則AH=0FFH算數(shù)/邏輯左移高低CF高CFItNi11偉術(shù)右秣拜NR1邏右 SIIR器IP內(nèi)容為基準,其位移

34、必須在-128+127的范圍內(nèi)。(2)循環(huán)移位指令:ROLOPRDCNT;循環(huán)左移ROROPRDCNT;循環(huán)右移RCLOPRDCNT;帶進位循環(huán)左移RCROPRDCNT;帶進位循環(huán)右移CNT為移位位數(shù),可以是1或寄存器CL當需要移多位時,移位位數(shù)需存放在CL寄存器中。高低cgyl 1循環(huán)右移折令RUH4、循環(huán)控制指令:LOOP OPRD功能:寄存器CX的值減1,如果結(jié)果不等于0,則循環(huán)置OPRD否則順序執(zhí)行。第四章表達式:1、算術(shù)運算符、邏輯運算符和關(guān)系運算符(1)算術(shù)運算符+、*、/、MOD、SHL SHR(2)邏輯運算符低請進癥循環(huán)左AND、OR NOT XOR按位運算;控制轉(zhuǎn)移類指令:1

35、、無條件轉(zhuǎn)移指令:2、調(diào)用和返回指令:帶進位環(huán)右移指令RRJMP OPRDCALL DSTRET3、條件轉(zhuǎn)移指令:(1)JC進位位為1JNC進位位為0JO溢出JNO不溢出JNP/J PO奇偶位為0 (奇)JP/JPE奇偶位為1 (偶)JNS符號位為0 (非負)JS符號位為1 (負)JE/JZ結(jié)果為0JNE/JNZ結(jié)果不為0標志位轉(zhuǎn)移指令;無符號數(shù)比較轉(zhuǎn)移指令;(2)JA/JNBE大于/不小于等于JAE/JNB大于等于/不小于JB/JNAE小于/不大于等于JBE/JNA小于等于/不大于有符號數(shù)比較轉(zhuǎn)移指令;(3)測試轉(zhuǎn)移指令;注意事項:條件轉(zhuǎn)移不影響標志位;條件轉(zhuǎn)移指令的目的地址必須在線性的代碼

36、段(CS內(nèi),并且以當前指針寄存(3)關(guān)系運算符EQ (等于)、NE (不等于)、LT(小于)、LE (小于等于)、GT (大于)、GE (大于等于)關(guān)系運算結(jié)果總是一個數(shù)值,若關(guān)系式不成立, 則結(jié)果為0,若關(guān)系成立,則結(jié)果為0FFFFH2、分析運算符(1)(2)SEG變量或標號計算段地址;OFFSET變量或標號計算偏移地址;TYPE變量或標號(3)計算符號類型。3、組合運算符(屬性操作符)(1)定義符號名為新類型PTR類型 PTR符號名用于指定或零時改變變量或標號的類型;(2)指定新類型THISTHIS啖型為某個符號名建立新類型,如 BYTE(字節(jié))、WORD(字)、偽指令:1、數(shù)據(jù)定義DWO

37、RD (雙字)。(變量定義)助記符 初值表變量名功能:根據(jù)定義類型不同,為變量分配存儲單元,并且把其后的初值表中的各項值存入連續(xù)的指定存儲單元中, 者置分配單元而不存入確定的值。初值表中的各項可以是數(shù)值、字符串、標號名或變量名,表達式。DB:定義字節(jié),即初值表中的每個數(shù)據(jù)占1個字節(jié)單元;DW:定義字,即初值表中的每個數(shù)據(jù)占1個字單元(2個字節(jié)),且低字節(jié)存放在低地址單元,高字節(jié)存放在高(4)失,同時響鈴;地址譯碼的方法:RET過程名 ENDP址單元;過程類型:段間為FAR段內(nèi)為NEARDQ:定義4字長,即每個數(shù)據(jù)項占8個字節(jié);匯編語言程序的基本框架:DT:定義10個字節(jié)長。STACK SEGM

38、ENT STACK;定義堆棧段地址單元;DD:定義雙字,即初值表中每個數(shù)據(jù)占兩個字單元 (4個 字節(jié)),且低字節(jié)存放在低地址單元,高字節(jié)存放在高地STACK ENDSCOUNT DUP (?)DATASEGMENT;定義數(shù)據(jù)段當一個定義的存儲區(qū)內(nèi)的每個單元要防止同樣的數(shù)據(jù)時, 可用DUP重復操作符COUNT為重復次數(shù),“()”中為要重復的數(shù)據(jù),?表示空出一個單元,沒有存放初值,DUP可以嵌套使用。DATAENDS2、符號常量定義偽指令(1)等價語句常量名 EQU表達式功能:將表達式的值賦給符號常量,表達式可以是常數(shù)、CODESTARTSEGMENT;定義代碼段ASSUME CS:CODE,DS

39、:DATA,SS:STACKMOV AX,DATAMOV DS,AX;數(shù)據(jù)段段地址送DS數(shù)值表達式、字符串、存儲器符號等;(2)等號語句MOV AH,4CH;程序結(jié)束返回DOSINT 21H功能:將表達式的數(shù)值賦給符號常量,表達式為數(shù)值表達CODEENDS;代碼段結(jié)束END START符號常量名 =表達式系統(tǒng)功能調(diào)用:可以重新賦值。1、調(diào)用方法:3、段定義偽指令SEGMENTS ENDS段名 SEGMENT定位類型組合類型類別(段體)段名 ENDS(1)(2)(3)準備入口參數(shù);功能調(diào)用號送AH寄存器;軟中斷指令I(lǐng)NT 21Ho2、常用系統(tǒng)功能調(diào)用注意:等價語句的符號常量名不能被重新賦值, 等

40、號語句(1)從鍵盤接收一個字符(01H功能)MOV AH,1可默認的。4、設定段寄存器偽指令ASSUMEASSUME段寄存器:段名,段寄存器:段名入口參數(shù):無;注意:開始的段名與結(jié)束的段名必須相同, 段的長度不能 超過64KB, SEGMENT后面的參數(shù)是可選的,但段名是不INT 21H功能:從鍵盤讀一個字符,并將該字符回顯在顯示器上;出口參數(shù):AL到字符的ASCII碼;ES應具有的符號段基址,但是段寄存器的實際值(CS除如果讀到的字符是Ctrl+c或Ctrl+Break則結(jié)束程序。外)還要由傳送指令在執(zhí)行程序時賦值。(2)從鍵盤接收一串字符(0AH號功能)5、ORG偽指令ORG 表達式功能:

41、規(guī)定了在某一段內(nèi),程序或代碼存放的起始偏移地址。MOVMOVINT入口參數(shù):DXQFFSET BUFAH,0AH21HDS DX緩沖區(qū)首址,緩沖區(qū)的第一個字節(jié)放功能:告訴匯編程序在匯編時,段寄存器 CS DS SS緩沖區(qū)最大容量數(shù):END 表達式功能:告訴匯編程序源文件結(jié)束,并給出執(zhí)行程序的入口出口參數(shù):接收到的字符串在緩沖區(qū)中第三個字節(jié)開始;注意:地址,表達式為程序的啟動地址。緩沖區(qū)第二個字節(jié)存放實際讀入的字符數(shù);7、過程定義偽指令 PROC和ENDP字符串以回車結(jié)束;過程名 PROC過程類型如果輸入字符串超過了緩沖的區(qū)容量,超出的部分丟6、匯編結(jié)束偽指令END如果在輸入時按Ctrl+C或C

42、trl+Break,則結(jié)束程序。1、線選法(3)顯示一個字符(02H功能)高位地址線直接(或經(jīng)反相器)接至各個存儲芯片的MOV DL,A片選端,當某條地址線信息為“ 0”時,就選中與之對應MOV AH,02H的存儲芯片,這些片選地址線每次尋址只能有一位有效,INT 21H保證每次只選中一個(或組)芯片。功能:寄存器DL中的字符從屏幕上顯示輸出;2、全譯碼法入口參數(shù):DL=要輸出字符的ASCII碼;用除了片內(nèi)尋址外的全部高位地址線作為地址譯碼器出口參數(shù):無。的輸入,把經(jīng)過譯碼器譯碼后的輸出作為各芯片的片選信(4)顯示一串字符(09H功能)BUF DBgOod bye $號,將它們分別接到存儲芯片

43、的片選端,以實現(xiàn)對存儲芯 片的選擇。3、部分譯碼MOV DXQFFSET BUF用除了片內(nèi)尋址外的高位地址的一部分來譯碼產(chǎn)生片MOV AH,09HINT 21H功能:將指定緩沖區(qū)中的字符串從屏幕上顯示輸出;入口參數(shù):DS: DX=要輸出字符的首址,字符串以字符,$為結(jié)束標志;出口參數(shù):無。(5)返回操作系統(tǒng)(4CH號功能)MOV AH,4CHINT 21H功能:結(jié)束當前正在執(zhí)行的程序,并返回操作系統(tǒng),回到DOS提示符下C:;入口參數(shù):無;出口參數(shù):無。匯編文件類型:編輯程序輸出的文件為.asm;匯編程序輸出的文件有.obj、.1st;連接程序輸出的文件為.exeb選信號,在系統(tǒng)中如果不要求提供

44、 CPU可直接尋址的全部存儲單元,則可采用線選法和全譯碼法相結(jié)合的方法,這就是部分譯碼法。第六章接口的作用:接口的作用總的來說就是要能夠根據(jù) CPU的要求對外設進行管理與控制,實現(xiàn)信號邏輯及工作時序的轉(zhuǎn)換,保證CPU與外設之間能可靠而有效地進行信息交換。具體地說,接口應該具備以下功能:1、數(shù)據(jù)緩沖及轉(zhuǎn)換功能2、設備選擇和尋址功能3、聯(lián)絡功能4、接收、解釋并執(zhí)行CPU命令的功能5、中斷管理功能6、可編程功能7、錯誤檢測功能第五章第八章存儲器容量的擴展方法:中斷的概念及應用:1、位擴展1、中斷向量:中斷服務程序的第一個可執(zhí)行語句所在單位擴展只是在位數(shù)方向擴展(加大字長),而芯片的字元的地址稱為中斷

45、向量,即中斷服務程序的入口地址(開始地址)。數(shù)和存儲器的字數(shù)是一致的,位擴展的連接方式是將各存儲芯片的地址線、片選線和讀/寫線相應地并聯(lián)起來,而2、中斷向量表:將中斷向量按中斷類型號的順序固定存將各芯片的數(shù)據(jù)線單獨列出,例如用 64KX 1bit的DRAM放在內(nèi)存的特定區(qū)域,這就是中斷向量表,該表含有256芯片組成64KX 8bit的存儲器。2、字擴展個中斷服務程序入口地址,占據(jù)存儲器低地址區(qū)的1KB空間,從00000000H到000003FFH專用中斷5個,保留字擴展是指僅在字數(shù)方向擴展,而位數(shù)不變,字擴展 中斷27個,用戶定義中斷224個;中斷類型號n的中斷將芯片的地址線、數(shù)據(jù)線、讀/寫線并聯(lián),由片選信號來

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論