直流電機(jī)控制設(shè)計(jì)_第1頁
直流電機(jī)控制設(shè)計(jì)_第2頁
直流電機(jī)控制設(shè)計(jì)_第3頁
直流電機(jī)控制設(shè)計(jì)_第4頁
直流電機(jī)控制設(shè)計(jì)_第5頁
已閱讀5頁,還剩13頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、河南科技大學(xué)課 程 設(shè) 計(jì) 說 明 書課程名稱 現(xiàn)代電子系統(tǒng)課程設(shè)計(jì) 題 目 直流電機(jī)控制設(shè)計(jì) 學(xué) 院 電子信息工程學(xué)院 班 級 電子信息科學(xué)與技術(shù)062班 學(xué)生姓名 * 指導(dǎo)教師 齊晶晶,張雷鳴 日 期2010年1月10號摘 要使用直流電源的電機(jī)叫做直流電機(jī)。只要把直流電機(jī)的端子接到直流電源上就可以簡單使其運(yùn)轉(zhuǎn)。直流電機(jī)是一種具有優(yōu)良控制特性的電機(jī)。因此,在角位移控制和速度控制的伺服系統(tǒng)中有著廣泛的應(yīng)用。為了調(diào)整直流電機(jī)的轉(zhuǎn)速和輸出轉(zhuǎn)矩,可以采用改變電樞直流電壓的方法來實(shí)現(xiàn),主要的控制方法有線性控制方式和PWM(脈寬調(diào)制)控制方式。一般小功率電機(jī)平滑轉(zhuǎn)速控制常采用線性控制方式,而大功率電機(jī)高

2、效控制時(shí),則常使用PWM控制方式。本文介紹的是利用FPGA實(shí)現(xiàn)PWM脈寬調(diào)制信號的產(chǎn)生和相應(yīng)的用數(shù)字電路的方法實(shí)現(xiàn)的換檔、正反向控制等。直流電機(jī)的轉(zhuǎn)動速度調(diào)節(jié)則歸結(jié)于對驅(qū)動脈寬的占空比的調(diào)節(jié)上,通過調(diào)節(jié)占空比而改變單位時(shí)間內(nèi)直流電機(jī)的通電時(shí)間長短,即改變了電機(jī)的轉(zhuǎn)速。轉(zhuǎn)動方向可用功率放大電路和H橋組成的正反向功率驅(qū)動電路來實(shí)現(xiàn)直流電機(jī)控制電路主要由五部分組成:l PWM脈寬調(diào)制信號產(chǎn)生電路:主要功能是產(chǎn)生pwm信號,并控制轉(zhuǎn)速。l FPGA中正/反轉(zhuǎn)方向控制:用2選1數(shù)據(jù)選擇器控制電機(jī)的pwm信號的輸入端,從而實(shí)現(xiàn)正反轉(zhuǎn)。l 由功率放大電路和H橋組成的正反轉(zhuǎn)功率驅(qū)動電路:l 分頻和去抖電路模塊

3、:通過兩個(gè)維持阻塞D觸發(fā)器實(shí)現(xiàn)消抖。l 測量轉(zhuǎn)速模塊:通過紅外線測量電機(jī)每轉(zhuǎn)一周產(chǎn)生的脈沖實(shí)現(xiàn)轉(zhuǎn)速測量。關(guān)鍵詞:速度調(diào)節(jié)、旋轉(zhuǎn)方向控制、去抖動電路、數(shù)字顯示轉(zhuǎn)速、PWM、占空比、FPGA1. 任務(wù)解析2二. 系統(tǒng)方案論證2 2.1總體方案與比較論證3 2.2系統(tǒng)原理與結(jié)構(gòu)3 三. 電機(jī)正反轉(zhuǎn)模塊設(shè)計(jì).43.1設(shè)計(jì)方案論證.43.2方案的實(shí)現(xiàn).4四PWM脈寬信號產(chǎn)生模塊設(shè)計(jì)54.1鋸齒波的產(chǎn)生.54.2參考電壓選擇電路.64.3通過比較器產(chǎn)生PWM波形.74.4 PWM波形發(fā)生器的搭接及仿真.8五. 實(shí)際轉(zhuǎn)速測量模塊95.1設(shè)計(jì)方案及實(shí)現(xiàn).9六. 總結(jié).16 七. 參考文獻(xiàn):.16一任務(wù)解析利用

4、PWM控制技術(shù)實(shí)現(xiàn)直流電機(jī)的速度控制。(1)速度調(diào)節(jié):4檔,直流電機(jī)的速度是靠調(diào)節(jié)PWM信號的占空比來調(diào)節(jié)的,建一個(gè)PWM模塊來調(diào)節(jié)電機(jī)的轉(zhuǎn)速。(2)電機(jī)的旋轉(zhuǎn)方向控制:電機(jī)的旋轉(zhuǎn)方向可以用二選一數(shù)據(jù)選擇器來控制正反轉(zhuǎn)。(3)通過紅外光電電路測得電機(jī)的轉(zhuǎn)速,設(shè)計(jì)頻率計(jì)用4位10進(jìn)制顯示電機(jī)的轉(zhuǎn)速。PWM模塊22MUX頻率計(jì)去抖電路電機(jī)速度控制模塊1HZGND電機(jī)方向控制電機(jī)轉(zhuǎn)速脈沖信號參考頻率PWM參考頻率電機(jī)轉(zhuǎn)速顯示電機(jī)轉(zhuǎn)速預(yù)置1HZ1HZ檔位直流電機(jī)控制原理框圖二系統(tǒng)方案論證2.1 總體方案與比較論證方案一:采用單片機(jī)產(chǎn)生pwm波形進(jìn)而實(shí)現(xiàn)電路控制如果采用CPU控制產(chǎn)生PWM信號,一般的P

5、WM信號是通過模擬比較器產(chǎn)生的,比較器的一端接給定的參考電壓,另一端接周期性線性增加的鋸齒波電壓。當(dāng)鋸齒波電壓小于參考電壓時(shí)輸出低電平,當(dāng)鋸齒波電壓大于參考電壓時(shí)輸出高電平。改變參考電壓就可以改變PWM波形中高電平的寬度。若用單片機(jī)產(chǎn)生PWM波形,需要通過D/A轉(zhuǎn)換器產(chǎn)生鋸齒波電壓和設(shè)置參考電壓,通過外接模擬比較器輸出PWM波形,因此外圍電路比較復(fù)雜。方案二:采用FPGA和數(shù)字電路控制FPGA中的PWM控制與一般的模擬PWM控制不同。用FPGA產(chǎn)生PWM波形,只要FPGA內(nèi)部資源就可以實(shí)現(xiàn)。用數(shù)字比較器代替模擬比較器,數(shù)字比較器的一端接設(shè)定值計(jì)數(shù)器輸出,另一端接線性遞增計(jì)數(shù)器輸出。與模擬控制相

6、比,省去了外接的D/A轉(zhuǎn)換器和模擬比較器,F(xiàn)PGA外部連線很少、電路更加簡單、便于控制。脈寬調(diào)制式細(xì)分驅(qū)動電路的關(guān)鍵式脈寬調(diào)制,轉(zhuǎn)速的波動隨著PWM脈寬細(xì)分的增大而減小。我們最終選擇第二種,用FPGA實(shí)現(xiàn)PWM控制,無需外接D/A轉(zhuǎn)換器,使外圍控制電路大大簡化,控制方式簡潔??刂凭雀?,控制效果好。用單片機(jī)和DSP的控制都難以達(dá)到同樣的控制效果2.2系統(tǒng)原理與結(jié)構(gòu)2.2.1系統(tǒng)結(jié)構(gòu)FPGA直流電機(jī)驅(qū)動控制電路原理圖 設(shè)定值計(jì)數(shù)器設(shè)置PWM信號的占空比。當(dāng)U/D=1時(shí),輸入CLK2,使設(shè)定計(jì)數(shù)器的輸出值增加,PWM的占空比增加,電機(jī)轉(zhuǎn)速加快; 當(dāng)U/D=0時(shí),輸入CLK2,是設(shè)定的計(jì)數(shù)器輸出值減

7、小,PWM的占空比減小,電機(jī)轉(zhuǎn)速變慢。在CLK0的作用下,鋸齒波計(jì)數(shù)器輸出周期性線性增加的鋸齒波。當(dāng)計(jì)數(shù)值小于設(shè)定值時(shí),數(shù)字比較器輸出低電平;當(dāng)計(jì)數(shù)值大于設(shè)定值時(shí),數(shù)字比較器輸出高電平,由此產(chǎn)生周期性的PWM波形。旋轉(zhuǎn)方向控制電路控制直流電機(jī)轉(zhuǎn)向和啟/停,該電路由兩個(gè)2選1多路選擇器組成,Z/F鍵控制選擇PWM波形從正端Z進(jìn)入H橋,以控制電機(jī)的旋轉(zhuǎn)方向。START鍵通過“與”門控制PWM的輸出,實(shí)現(xiàn)對電機(jī)的工作/停止控制。H橋電路由大功率晶體管組成,PWM波形通過方向控制電路送到H橋,經(jīng)功率放大以后驅(qū)動電機(jī)轉(zhuǎn)動。3. 電機(jī)正反轉(zhuǎn)模塊設(shè)計(jì) 3.1設(shè)計(jì)方案論證正反轉(zhuǎn)方向的選擇究其根本就是選通問題:

8、當(dāng)把PWM信號選通到正轉(zhuǎn)的H橋輸入端時(shí),電機(jī)正轉(zhuǎn)(但同時(shí)要保證反轉(zhuǎn)輸入端接入低電平);反之亦然。在數(shù)字電路設(shè)計(jì)中,進(jìn)行選通設(shè)計(jì)用到最多的是二選一數(shù)據(jù)選擇器。把PWM信號接到兩個(gè)二選一選擇器的互逆的端口上,就可以保證一次只有一個(gè)H橋端口有PWM信號輸入;另一對互逆的端口上接低電平,則又保證了不工作的H橋端口始終為低電平。3.2方案實(shí)現(xiàn)及仿真正反轉(zhuǎn)選擇電路的搭接如下圖所示:該電路的波形仿真如下圖: 結(jié)果分析:由圖所示,當(dāng)Z_F=1時(shí)選通F,Z_F=0時(shí)選通Z。四、 PWM脈寬調(diào)制信號產(chǎn)生模塊設(shè)計(jì)4.1鋸齒波的產(chǎn)生由于采用數(shù)字電路產(chǎn)生鋸齒波,其實(shí)質(zhì)相當(dāng)于對一個(gè)已知的鋸齒波進(jìn)行細(xì)分并對每一個(gè)細(xì)分出來的

9、電壓值進(jìn)行二進(jìn)制數(shù)值編碼。本設(shè)計(jì)采用對鋸齒波進(jìn)行16細(xì)分的方法,即要對每個(gè)細(xì)分值進(jìn)行四位二進(jìn)制數(shù)編碼:從0000到1111,代表鋸齒波從0V到峰值之間的電壓值(顯然,若進(jìn)行更高分辨率的細(xì)分則能更精確的表示出鋸齒波)。以下是鋸齒波發(fā)生器的實(shí)現(xiàn)模塊及其相應(yīng)的VHDL源代碼: LIBRARY IEEE; - 4進(jìn)制計(jì)數(shù)器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT5 IS PORT ( CLK : IN STD_LOGIC; AA : OUT STD_LOGIC_VECTOR(4 DOWNTO 1);EN

10、D CNT5;ARCHITECTURE behav OF CNT5 IS SIGNAL CQI : STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1 then CQI = CQI + 1; END IF; END PROCESS; AA D D D D NULL ; END CASE ; END PROCESS ; PROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1 then CQ = CQ + 1; END IF; - -手動控制CLK,每次CLK出現(xiàn)上

11、升沿則計(jì)數(shù)器自加一 END PROCESS; DSPY=CQ; - -把計(jì)數(shù)器的兩位接到兩盞LED燈上,實(shí)現(xiàn)檔位顯示 END ;以下是該元件的仿真波形:結(jié)果分析:通過仿真波形可以看出,CLK每有一個(gè)上升沿,輸出的四位二進(jìn)制數(shù)就變化依次,變化順序如源代碼中所寫。同時(shí)計(jì)數(shù)器的數(shù)值顯示到LED燈的端口上,用于顯示此時(shí)所處的檔位。4.3通過比較器產(chǎn)生PWM波形現(xiàn)在,鋸齒波和參考電壓都已經(jīng)得到,下一步就是通過電壓的大小比較產(chǎn)生一定占空比的PWM波形。由于鋸齒波電壓和參考電壓都是一組四位的二進(jìn)制編碼,故使用一個(gè)兩輸入的四位數(shù)字比較器就可完成比較任務(wù):當(dāng)鋸齒波電壓小于參考電壓時(shí),比較器輸出低電平;高于參考電

12、壓時(shí),比較器輸出高電平。比較器的元件符號如下: 其中,dataa接參考電壓端,datab接鋸齒波電壓端:當(dāng)鋸齒波電壓小于參考電壓時(shí),agb輸出1,當(dāng)鋸齒波大于參考電壓時(shí),輸出0。這時(shí)形成的邏輯是:當(dāng)dataa為較小數(shù)時(shí),只有在鋸齒波剛有電壓值的一個(gè)短暫時(shí)間內(nèi),比較器輸出高電平,其余時(shí)間都是低電平,占空比小,進(jìn)而電機(jī)轉(zhuǎn)動慢;當(dāng)dataa為較大數(shù)時(shí),在鋸齒波很長一段時(shí)間內(nèi)一直都比參考電壓小,則一直輸出高電平,占空比大,進(jìn)而電機(jī)轉(zhuǎn)動快。4.4 PWM波形發(fā)生器的搭接 綜上,用以上得到的元件搭接成可調(diào)檔PWM波形發(fā)生器如下圖: PWM波形生成如下圖:結(jié)果分析:由波形圖可明顯看出,隨D_STP不斷產(chǎn)生上

13、升沿,PWM波形高電平的占空比依次從小到大重復(fù)變化。由直流電機(jī)的原理可知,當(dāng)這樣的電壓驅(qū)動電機(jī)轉(zhuǎn)動時(shí),占空比大的單位時(shí)間內(nèi)驅(qū)動電機(jī)轉(zhuǎn)動時(shí)間長,即電機(jī)轉(zhuǎn)的快;反之亦然。五.轉(zhuǎn)速頻率測量模塊 輪機(jī)轉(zhuǎn)動時(shí),每轉(zhuǎn)一周,位于輪機(jī)上方的紅外線測速儀測得一個(gè)脈沖??拷y(tǒng)計(jì)每秒鐘發(fā)出脈沖的個(gè)數(shù),即可得到輪機(jī)的轉(zhuǎn)速(轉(zhuǎn)/秒)。由此可見,轉(zhuǎn)速測量器顯示的是每秒鐘的圈數(shù),實(shí)質(zhì)上是一個(gè)頻率計(jì)。5.1 設(shè)計(jì)方案實(shí)現(xiàn)頻率計(jì):由計(jì)數(shù),鎖存,分頻三部分組成分頻器:Library ieee;Use ieee.std_logic_unsigned.all;Use ieee.std_logic_1164.all;Entity fen

14、pinqi isPort ( clkk: in std_logic; cnt_en: out std_logic; load: out std_logic; rst_cnt: out std_logic);end fenpinqi;architecture behav of fenpinqi issignal div2clk :std_logic;begin process(clkk) begin if clkkevent and clkk=1 thendiv2clk=not div2clk; end if;end process;process(clkk,div2clk)beginif cl

15、kk=0 and div2clk=0 then rst_cnt=1;else rst_cnt=0;end if;end process;load=not div2clk;cnt_en=div2clk;end behav; 相應(yīng)的波形仿真如下圖:鎖存部分:Library ieee;Use ieee.std_logic_1164.all;Entity reg16 isPort (load: in std_logic; din: in std_logic_vector(15 downto 0); dout: out std_logic_vector(15 downto 0);end reg16;ar

16、chitecture behav of reg16 isbegin process(load,din) beginif loadevent and load=1 thendout0); elsif clkevent and clk=1 then if ena=1 then if cqi0); end if; end if;end if; if cqi=9 then cout=1;else cout=0;end if;cqf_in,clr=clr1,ena=ena1, cq=cq1,cout=cout1); u2 : cnt10 port map(clk=cout1,clr=clr1,ena=e

17、na1,cq=cq2,cout=cout2); u3 : cnt10 port map(clk=cout2,clr=clr1,ena=ena1,cq=cq3,cout=cout3); u4 : cnt10 port map(clk=cout3,clr=clr1,ena=ena1,cq=cq4,cout=carry_out); u5 : fenpinqi port map(clkk=clk,cnt_en=ena1,rst_cnt=clr1,load=load1); u6 : reg16 port map(load=load1,dout=dout,din=cq5); cq5(15 downto 1

18、2)=cq4(3 downto 0); cq5(11 downto 8)=cq3(3 downto 0); cq5(7 downto 4)=cq2(3 downto 0); cq5(3 downto 0)=cq1(3 downto 0); end;頻率計(jì)仿真波形圖:由圖可見,在f_in端接入電機(jī)上的紅外線脈沖信號,clk接為1Hz,即可測得轉(zhuǎn)速下圖是全部連接后的電路圖:其中又包括了一個(gè)四分頻電路和去抖電路。六心得體會通過這次的課程設(shè)計(jì)使我對現(xiàn)代電子系統(tǒng)設(shè)計(jì)的內(nèi)容和方法有了更直接、更深刻的了解,學(xué)到了受益終身的思維方法和科學(xué)嚴(yán)謹(jǐn)?shù)男袨榉绞健=?jīng)過兩周的準(zhǔn)備和調(diào)試基本實(shí)現(xiàn)了設(shè)計(jì)要求。在這次設(shè)計(jì)中也遇到一些問題,以前只是將eda課本中的原理介紹進(jìn)行了大致的了解,但對具體模塊的工作原理還不甚了解,比如,對pw

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論