電壓型三相交流變頻調(diào)速系統(tǒng)的設(shè)計(jì)_第1頁(yè)
電壓型三相交流變頻調(diào)速系統(tǒng)的設(shè)計(jì)_第2頁(yè)
電壓型三相交流變頻調(diào)速系統(tǒng)的設(shè)計(jì)_第3頁(yè)
電壓型三相交流變頻調(diào)速系統(tǒng)的設(shè)計(jì)_第4頁(yè)
電壓型三相交流變頻調(diào)速系統(tǒng)的設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩49頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、電壓型三相交流變頻調(diào)速系統(tǒng)設(shè)計(jì)摘要:本課題主要是研究電壓型三相交流SPWM變頻技術(shù)的基本原理、實(shí)現(xiàn)方法及軟硬件設(shè)計(jì),完成系統(tǒng)的軟硬件設(shè)計(jì)。要求完成內(nèi)容主要有:1、變頻調(diào)速技術(shù)基本原理2、變頻調(diào)速基本原理3、控制方案確定4、軟件與硬件設(shè)計(jì)5、實(shí)驗(yàn)調(diào)試。涉及的主要相關(guān)知識(shí):電力電子及運(yùn)動(dòng)控制、微機(jī)控制。在通常情況下交流異步電動(dòng)機(jī)用作調(diào)速機(jī)時(shí),它的控制電路復(fù)雜,系統(tǒng)的效率較低。采用單片機(jī)微機(jī)控制的交流異步電動(dòng)機(jī)變頻調(diào)速系統(tǒng)使起控制電路大為簡(jiǎn)化,使用正弦脈寬調(diào)制(SPWM)驅(qū)動(dòng),系統(tǒng)效率也有所提高。交流異步電動(dòng)機(jī)的變頻調(diào)速,實(shí)際中多采用脈沖寬度調(diào)制(PWM),完成調(diào)頻和調(diào)壓兩種功能。用單片微機(jī)實(shí)現(xiàn)(P

2、WM)來(lái)控制可使調(diào)節(jié)靈活,電路簡(jiǎn)化。本設(shè)計(jì)采用的MCS51系列的單片微機(jī)控制PWM,在300W的二相異步交流電機(jī)上進(jìn)行運(yùn)行實(shí)驗(yàn)。傳統(tǒng)的交流變頻調(diào)速系統(tǒng)由正弦波和鋸齒波相交產(chǎn)生所需的脈寬調(diào)制波實(shí)現(xiàn)恒壓額比的變頻調(diào)速控制。這種系統(tǒng)由于采用模擬控制,設(shè)備復(fù)雜、調(diào)整困難,且控制精度低,可靠性差,因而限制了這種系統(tǒng)的應(yīng)用。與上述傳統(tǒng)的系統(tǒng)相比,本系統(tǒng)具有如下特點(diǎn):采用新型大規(guī)模專用集成電路產(chǎn)生脈寬調(diào)制波,使波形穩(wěn)定,精度和可靠性顯著增加。以單片機(jī)8031CPU為核心的全數(shù)字控制電路簡(jiǎn)單,調(diào)整迅速,進(jìn)一步提高了控制精度。關(guān)鍵詞:電壓型三相PWM整流器,變頻調(diào)速,單片機(jī),交流電機(jī);Design of thr

3、ee-phase Voltage-type Inverter Control System ABSTRACT: Focus is Studying SPWM three-phase voltage-type AC inverter with the fundamental principles in this paper, and designing the methods and software and hardware, and complete system software and hardware. The main completion on: 1.the basic princ

4、iples of VVVF technology 2. three basic principles of Frequency Control, the control scheme for the 4, 5 software and hardware design, experimental debugging. The main relevant knowledge: power electronics and motion control, computer control. Under normal circumstances in exchange for motor asynchr

5、onous speed machine, its complicated control circuits, the systems efficiency is low. SCM using computer control the exchange of asynchronous motor Frequency Control System that has greatly simplified control circuit, the use of SPWM (SPWM) drive, the system has improved efficiency. Induction Motor

6、Frequency Control, in the actual use of pulse width modulation (PWM), and completed FM Surge two functions. To achieve single-chip microprocessor (PWM) to control can adjust flexibly, to simplify circuit. This design by the MCS51 series of single-chip microprocessor achives PWM control, 300W in the

7、two-phase asynchronous motor exchanges on running the experiment. The traditional exchange of Frequency Control System from the intersection of a sine wave and the sawtooth PWM wave of constant pressure to achieve than the frequency for arrest control. As a result of this analog control system, equi

8、pment complex and difficult adjustment, and low-precision control, reliability poor, thus limiting the application of such a system. With the traditional systems, this system has the following characteristics: a new type of large-scale ASIC PWM wave, the wave stability, accuracy and reliability of a

9、 significant increase in SCM (8031 CPU as the core of digital control. Circuit simple to adjust quickly to further enhance the control accuracy. Keywords:Three-Phase PWM voltage rectifier, Frequency Control, SCM, AC motor, computer control第1章 前言1.1 電力電子技術(shù)的發(fā)展與創(chuàng)新1.1.1 概述 自20世紀(jì)50年代末第一只晶閘管問世以來(lái),電力電子技術(shù)開始登

10、上自20世紀(jì)50年代末第一只晶閘管問世以來(lái),電力電子技術(shù)開始登上現(xiàn)代電氣傳動(dòng)技術(shù)舞臺(tái),以此為基礎(chǔ)開發(fā)的可控硅整流裝置,是電氣傳動(dòng)領(lǐng)域的一次革命,使電能的變換和控制從旋轉(zhuǎn)變流機(jī)組和靜止離子變流器進(jìn)入由電力電子器件構(gòu)成的變流器時(shí)代,這標(biāo)志著電力電子的誕生。進(jìn)入20世紀(jì)70年代晶閘管開始形成由低電壓小電流到高電壓大電流的系列產(chǎn)品,普通晶閘管不能自關(guān)斷的半控型器件,被稱為第一代電力電子器件。隨著電力電子技術(shù)理論研究和制造工藝水平的不斷提高,電力電子器件在容易和類型等方面得到了很大發(fā)展,是電力電子技術(shù)的又一次飛躍,先后研制出GTR、GTO,功率MOSFET等自關(guān)斷全控型第二代電力電子器件。而以絕緣柵雙極

11、晶體管(IGBT)為代表的第三代電力電子器件,開始向大容易高頻率,響應(yīng)快,低損耗方向發(fā)展。而進(jìn)入20世紀(jì)90年代電力電子器件正朝著復(fù)臺(tái)化 標(biāo)準(zhǔn)模塊化、智能化功率集成的方向發(fā)展,以此為基礎(chǔ)形成一條以電力電子技術(shù)理論研究,器件開發(fā)研制,應(yīng)用滲透性,在國(guó)際上電力電子技術(shù)是競(jìng)爭(zhēng)最激烈的高新技術(shù)領(lǐng)域。1.1.2 電力電子器件發(fā)展回顧整流管是電力電子器件中結(jié)構(gòu)最簡(jiǎn)單,應(yīng)用最廣泛的一種器件。目前已形成普通型,快恢復(fù)型和肖特基型三大系列產(chǎn)品,電力整流管對(duì)改善各種電力電子電路的性能,降低電路損耗和提高電流使用效率等方面都具有非常重要的作用。自1958年美國(guó)通用電氣GE公司研制出第一個(gè)工業(yè)用普通晶閘管開始,其結(jié)構(gòu)

12、的改進(jìn)和工藝的改革為新器件開發(fā)研制奠定了基礎(chǔ),在以后的十年間開發(fā)研制出雙向,逆變、逆導(dǎo)、非對(duì)稱晶閘管,至今晶閘管系列產(chǎn)品仍有較為廣泛的市場(chǎng)。20世紀(jì)70年代研制出GTR系列產(chǎn)品,其額定值已達(dá)18kV08kA2kHZ,06kV0003kA100kHZ,它具有組成的電路靈活成熟,開關(guān)損耗小、開關(guān)時(shí)問短等特點(diǎn),在中等容量、中等頻率的電路中應(yīng)用廣泛,而作為高性能,大容量的第三代絕緣柵型雙極性晶體管IGBT,因其具有電壓型控制,輸入阻抗大、驅(qū)動(dòng)功率小,開關(guān)損耗低及工作頻率高等特點(diǎn),有著廣闊的發(fā)展前景。而IGCT是最近發(fā)展起來(lái)的新型器件,它是在GTO基礎(chǔ)上發(fā)展起來(lái)的器件,稱為集成門極換流晶閘管,也有人稱之

13、為發(fā)射極關(guān)斷晶閘管,它的瞬時(shí)開關(guān)頻率可達(dá)20kHz,關(guān)斷時(shí)間為1s,di1dt4kAms,dudtl020KVms,交流阻斷電壓6kV,直流阻斷電壓39kV,開關(guān)時(shí)間1000Hz。1.1.3 電力電子器件發(fā)展趨勢(shì)當(dāng)我們將50Hz的標(biāo)準(zhǔn)二頻大幅的提高之后,使用這樣工頻的電氣設(shè)備的體積與重量就能大大縮小,使電氣設(shè)備制造節(jié)約材料,運(yùn)行時(shí)節(jié)電就更加明顯,設(shè)備的系統(tǒng)性能亦大為改善,尤其是對(duì)航天工業(yè)其意義十分深遠(yuǎn)的。故電力電子器件的高頻化是今后電力電子技術(shù)創(chuàng)新的主導(dǎo)方向,而硬件結(jié)構(gòu)的標(biāo)準(zhǔn)模塊是器件發(fā)展的必然趨勢(shì),目前先進(jìn)的模塊,已經(jīng)包括開關(guān)元件和與其反向并聯(lián)的續(xù)流二極管在內(nèi)及驅(qū)動(dòng)保護(hù)電路多個(gè)單元,并都以標(biāo)

14、準(zhǔn)化和生產(chǎn)出系列產(chǎn)品,并且可以在一致性與可靠性上達(dá)到極高的水平。目前世界上許多大公司已開發(fā)出IPM智能化功率模塊,如日本三菱東芝及美國(guó)的國(guó)際整流器公司已有成熟的產(chǎn)品推出。El本新電源公司的IPM智能化功率模塊的主要特點(diǎn)是:(1)它內(nèi)部集成了功率芯片,檢測(cè)電路及驅(qū)動(dòng)電路,使主電路的結(jié)構(gòu)為最簡(jiǎn)。(2)其功率芯片采用的是開關(guān)速度高,驅(qū)動(dòng)電流小的IGBT,且自帶電流傳感器,可以高效地檢測(cè)出過電流和短路電流,給功率芯片以安全的保護(hù)。(3)在內(nèi)部配線上將電源電路和驅(qū)動(dòng)電路的配線長(zhǎng)度控制到最短,從而很好地解決了浪涌電壓及噪聲影響誤動(dòng)作等問題。(4)自帶可靠的安全保護(hù)措施,當(dāng)故障發(fā)生時(shí)能及時(shí)關(guān)斷功率器件并發(fā)出

15、故障信號(hào),對(duì)芯片實(shí)施雙重保護(hù),以保證其運(yùn)行的可靠性。1.1.4 電力電子技術(shù)創(chuàng)新98年末朱總理明確指示,今后必須加快國(guó)家創(chuàng)新體系的建設(shè),因此可以肯定的說(shuō),在21紀(jì)初國(guó)家發(fā)展中,技術(shù)創(chuàng)新將要變成企業(yè)工作的主導(dǎo)內(nèi)容,而發(fā)展與建立適合中國(guó)國(guó)情的電氣工業(yè)的技術(shù)創(chuàng)新機(jī)制,通過電力電子技術(shù)長(zhǎng)足進(jìn)步推動(dòng)新型電氣工業(yè)不斷升級(jí)和進(jìn)步進(jìn)而走向世界。電力電子技術(shù)又稱為能流技術(shù),因此電力電子技術(shù)的發(fā)展與創(chuàng)新是21世紀(jì)可持續(xù)發(fā)展戰(zhàn)略綱領(lǐng)的重要組成部分。電力電子技術(shù)的創(chuàng)新與電力電子器件制造工藝,已成為世界各國(guó)工業(yè)自動(dòng)化控制和機(jī)電一體化領(lǐng)域競(jìng)爭(zhēng)最激烈的陣地,各發(fā)達(dá)國(guó)家均在這一領(lǐng)域注入極大的人力,物力和財(cái)力,使之進(jìn)入高科技行

16、業(yè),就電力電子技術(shù)的理論研究言,目前日本、美國(guó)及法國(guó)、荷蘭、丹麥等西歐國(guó)家可以說(shuō)是齊頭并進(jìn),在這些國(guó)家各種先進(jìn)的電力電子功率量不斷開發(fā)完善,促進(jìn)電力電子技術(shù)向著高頻化邁進(jìn),實(shí)現(xiàn)用電設(shè)備的高效節(jié)能,為真正實(shí)現(xiàn)工控設(shè)備的小型化,輕量化,智能化奠定了重要的技術(shù)基礎(chǔ),也為21世紀(jì)電力電子技術(shù)的不斷拓展創(chuàng)新描繪了廣闊的前景。我國(guó)開發(fā)研制電力電子器件的綜合技術(shù)能力與國(guó)外發(fā)達(dá)國(guó)家相比,仍有較大的差距,要發(fā)展和創(chuàng)新我國(guó)電力電子技術(shù),并形成產(chǎn)業(yè)化規(guī)模,就必須走有中國(guó)特色的產(chǎn)學(xué)創(chuàng)新之路,即牢牢堅(jiān)持和掌握產(chǎn)、學(xué)、研相結(jié)合的方法走共同發(fā)展之路。從跟蹤國(guó)外先進(jìn)技術(shù),逐步走上自主創(chuàng)新,從交叉學(xué)科的相互滲透中創(chuàng)新,從器件開

17、發(fā)選擇及電路結(jié)構(gòu)變換上創(chuàng)新,這對(duì)電力技術(shù)創(chuàng)新是尤其實(shí)用的。也要從器件制造工藝技術(shù)引導(dǎo)創(chuàng)新,從新材料科學(xué)的應(yīng)用上創(chuàng)新,以此推動(dòng)電力電子器制造工藝的技術(shù)創(chuàng)新,提高器件的可靠性。由此形成基礎(chǔ)積累型的創(chuàng)新之路。并要把技術(shù)創(chuàng)新與產(chǎn)品應(yīng)用及市場(chǎng)推廣有機(jī)結(jié)合,已加快科技創(chuàng)新的自我強(qiáng)化的循環(huán),促進(jìn)和帶動(dòng)技術(shù)創(chuàng)新有著穩(wěn)定的基礎(chǔ),以使我國(guó)電力電子技術(shù)及器件制造工藝技術(shù)有以長(zhǎng)足的發(fā)展,并形成一個(gè)全新的圾陽(yáng)產(chǎn)業(yè),轉(zhuǎn)化為巨大的生產(chǎn)力,推動(dòng)我國(guó)工業(yè)領(lǐng)域由粗板型經(jīng)營(yíng)走向集型,促進(jìn)國(guó)民經(jīng)濟(jì)以高速、高度、可持續(xù)發(fā)展。1.2 設(shè)計(jì)本課題的總思路本文對(duì)于逆變器供電的變頻調(diào)速系統(tǒng)進(jìn)行了分析,并設(shè)計(jì)了一種以MCS-51系列單片機(jī)為基礎(chǔ)

18、生成的SPWM來(lái)控制逆變器的控制系統(tǒng)。首先,在逆變器供電的交流調(diào)速系統(tǒng)中,電動(dòng)機(jī)的運(yùn)行條件發(fā)生了很大變化,針對(duì)逆變器供電的特點(diǎn)給出了變頻調(diào)速異步電動(dòng)機(jī)的選擇方法。其次,對(duì)于新型器件的應(yīng)用做了說(shuō)明,根據(jù)新型功率器件的特點(diǎn)和應(yīng)用要求,設(shè)計(jì)出了逆變器的驅(qū)動(dòng)電路和保護(hù)電路,使得新型功率器件的應(yīng)用更加安全。最后,為適應(yīng)變頻調(diào)速電機(jī)的要求,設(shè)計(jì)了一套基于單片機(jī)生成的SPWM控制逆變器來(lái)控制電動(dòng)機(jī)變頻調(diào)速系統(tǒng),對(duì)于硬件電路部分和實(shí)現(xiàn)控制策略的軟件部分進(jìn)行設(shè)計(jì)。1.3 設(shè)計(jì)任務(wù)及要求本課題主要是研究電壓型三相交流SPWM變頻技術(shù)的基本原理、實(shí)現(xiàn)方法及軟硬件設(shè)計(jì),完成系統(tǒng)的軟硬件設(shè)計(jì)。要求完成任務(wù)主要有:1、變

19、頻調(diào)速技術(shù)基本原理2、變頻調(diào)速基本原理3、控制方案確定4、軟件與硬件設(shè)計(jì)5、實(shí)驗(yàn)調(diào)試要求設(shè)計(jì)一個(gè)完整的電壓型三相交流SPWM變頻系統(tǒng),并進(jìn)行相關(guān)的實(shí)驗(yàn)。資料:要求在圖書館和查閱與參考變頻調(diào)速系統(tǒng)及電力電子相關(guān)的書籍的基礎(chǔ)上,寫出開題報(bào)告,設(shè)計(jì)中寫好設(shè)計(jì)日志、設(shè)計(jì)說(shuō)明書完整、軟件清單、設(shè)計(jì)圖紙完整。第2章 變頻調(diào)速基本原理及應(yīng)用2.1 變頻調(diào)速技術(shù)的發(fā)展近10年來(lái),隨著電力電子技術(shù)、計(jì)算機(jī)技術(shù)、自動(dòng)控制技術(shù)的迅速發(fā)展,電氣傳動(dòng)技術(shù)面臨著一場(chǎng)歷史革命,即交流調(diào)速取代直流調(diào)速和計(jì)算機(jī)數(shù)字控制技術(shù)取代模擬控制技術(shù)已成為發(fā)展趨勢(shì)。電機(jī)交流變頻調(diào)速技術(shù)是當(dāng)今節(jié)電、改善工藝流程以提高產(chǎn)品質(zhì)量和改善環(huán)境、推動(dòng)

20、技術(shù)進(jìn)步的一種主要手段。變頻調(diào)速以其優(yōu)異的調(diào)速和起制動(dòng)性能,高效率、高功率因數(shù)和節(jié)間效果,廣泛的適用范圍及其它許多優(yōu)點(diǎn)而被國(guó)內(nèi)外公認(rèn)為最有發(fā)展前途的調(diào)速方式。2.1.1 變頻技術(shù)的發(fā)展方向 (1)交流變頻向直流變頻方向轉(zhuǎn)化直流變頻是以數(shù)字轉(zhuǎn)換電路代替交流變頻中的交流轉(zhuǎn)換電路,使負(fù)載電機(jī)始終處于最佳運(yùn)行狀態(tài)。它摒棄了交流變頻技術(shù)的交流直流交流變轉(zhuǎn)速方式交流電機(jī)的循環(huán)工作方式,采用先進(jìn)的交流直流變轉(zhuǎn)速方式數(shù)字電機(jī)的控制技術(shù),無(wú)逆變環(huán)節(jié),因而減少電流在工作中轉(zhuǎn)變次數(shù),使電能轉(zhuǎn)化效率大大提高,能夠?qū)崿F(xiàn)精確控制,平穩(wěn)安靜高效地運(yùn)轉(zhuǎn)。同時(shí),避免了交流變頻電機(jī)電磁噪聲較大的缺點(diǎn),噪聲更加低。(2)控制技術(shù)由

21、PWM(脈寬調(diào)制)向PAM(脈幅調(diào)制)方向發(fā)展采用PWM控制方式的電機(jī)轉(zhuǎn)速受到上限轉(zhuǎn)速的限制。如對(duì)壓縮機(jī)來(lái)講,一般不超過7000r/min。而采用PAM控制方式的壓縮機(jī)轉(zhuǎn)速可提高1.5倍左右,這樣大大提高了快速制冷和制熱能力。同時(shí),由于PAM在調(diào)整電壓時(shí)具有對(duì)電流波形的整形作用,因而可以獲得比PWM更高的效率。此外,在抗干擾方面也有著PWM無(wú)法比擬的優(yōu)越性,可抑制高次諧波的生成,減小對(duì)電網(wǎng)的污染。(3)功率器件向高集成智能功率模塊發(fā)展雖然單個(gè)功率器件的效率越來(lái)越高,控制簡(jiǎn)化,但電的復(fù)雜性給生產(chǎn)和測(cè)試帶來(lái)不便。智能功率模塊(IPM)是將功率器件的配置、散熱乃至驅(qū)動(dòng)問題在模塊中解決,因而易于使用,

22、可靠性高。以變頻空調(diào)為例,我國(guó)的變頻空調(diào)幾乎100采用IPM方式。近年來(lái)帶驅(qū)動(dòng)和保護(hù)電路的智能功率模塊(IPM)相繼面市。IPM是將三相逆變IGBT、驅(qū)動(dòng)電路以及保護(hù)電路集成在一塊芯片上。它的出現(xiàn)推動(dòng)了變頻家電市場(chǎng)的啟動(dòng)和發(fā)展。新型IPM模塊甚至將開關(guān)電源也設(shè)計(jì)在模塊內(nèi),更加方便用戶使用,用戶只需要了解接口電路和定義,很快可以組成運(yùn)行系統(tǒng)。2.1.2 交流變頻調(diào)速技術(shù)的發(fā)展交流變頻調(diào)速技術(shù)是強(qiáng)弱電混合、機(jī)電一體的綜合性技術(shù),既要處理巨大電能的轉(zhuǎn)換(整流、逆變),又要處理信息的收集、變換和傳輸,因此它的共性技術(shù)必定分成功率和控制兩大部分。其主要發(fā)展方向有如下幾項(xiàng):(1)實(shí)現(xiàn)高水平的控制?;陔妱?dòng)

23、機(jī)和機(jī)械模型的控制策略,有矢量控制、磁場(chǎng)控制、直接轉(zhuǎn)矩控制和機(jī)械扭振補(bǔ)償?shù)?;基于現(xiàn)代理論的控制策略,有滑模變結(jié)構(gòu)技術(shù)、模型參考自適應(yīng)技術(shù)、采用微分幾何理論的非線性解耦、魯棒觀察器,在某種指標(biāo)意義下的最優(yōu)控制技術(shù)和逆奈奎斯特陣列設(shè)計(jì)方法等;基于智能控制思想的控制策略,有模糊控制、神經(jīng)元網(wǎng)絡(luò)、專家系統(tǒng)和各種各樣的自優(yōu)化、自診斷技術(shù)等。(2)開發(fā)清潔電能的變流器。所謂清潔電能變流器是指變流器的功率因數(shù)為1,網(wǎng)側(cè)和負(fù)載側(cè)有盡可能低的諧波分量,以減少對(duì)電網(wǎng)的公害和電動(dòng)機(jī)的轉(zhuǎn)矩脈動(dòng)。對(duì)中小容量變流器,提高開關(guān)頻率的PWM控制是有效的。對(duì)大容量變流器,在常規(guī)的開關(guān)頻率下,可改變電路結(jié)構(gòu)和控制方式,實(shí)現(xiàn)清潔電

24、能的變換。(3)縮小裝置的尺寸。緊湊型變流器要求功率和控制元件具有高的集成度,其中包括智能化的功率模塊、緊湊型的光耦合器、高頻率的開關(guān)電源,以及采用新型電工材料制造的小體積變壓器、電抗器和電容器。(4)高速度的數(shù)字控制。以32位高速微處理器為基礎(chǔ)的數(shù)字控制模板有足夠的能力實(shí)現(xiàn)各種控制算法,Windows操作系統(tǒng)的引入使得可自由設(shè)計(jì),圖形編程的控制技術(shù)也有很大的發(fā)展。(5)模擬與計(jì)算機(jī)輔助設(shè)計(jì)(CAD)技術(shù)。電機(jī)模擬器、負(fù)載模擬器以及各種CAD軟件的引入對(duì)變頻器的設(shè)計(jì)和測(cè)試提供了強(qiáng)有力的支持。主要的研究開發(fā)項(xiàng)目有如下各項(xiàng):(1)數(shù)字控制的大功率交-交變頻器供電的傳動(dòng)設(shè)備。(2)大功率負(fù)載換流電流

25、型逆變器供電的傳動(dòng)設(shè)備在抽水蓄能電站、大型風(fēng)機(jī)和泵上的推廣應(yīng)用。(3)電壓型GTO逆變器在鐵路機(jī)車上的推廣應(yīng)用。(4)電壓型IGBT、IGCT逆變器供電的傳動(dòng)設(shè)備擴(kuò)大功能,改善性能。如4象限運(yùn)行,帶有電極參數(shù)自測(cè)量與自設(shè)定和電機(jī)參數(shù)變化的自動(dòng)補(bǔ)償以及無(wú)傳感器的矢量控制、直接轉(zhuǎn)矩控制等。(5)風(fēng)機(jī)和泵用高壓電動(dòng)機(jī)的節(jié)能調(diào)速研究。眾所周知,風(fēng)機(jī)和泵改用調(diào)速傳動(dòng)后節(jié)約大量電力。特別是電壓電動(dòng)機(jī),容量大,節(jié)能效果更顯著。2.2 變頻調(diào)速基本原理2.2.1 變頻調(diào)速的工作原理p磁極對(duì)數(shù)由電機(jī)學(xué)理論可知,電動(dòng)機(jī)的轉(zhuǎn)速為n=60f/p,式中f電源頻率;p磁極對(duì)數(shù);當(dāng)P為定值時(shí),n與f成正比。如果連續(xù)地改變供

26、電電源的頻率就可以調(diào)節(jié)電動(dòng)機(jī)的轉(zhuǎn)速,這就是變頻調(diào)速的工作原理。而變頻調(diào)速的關(guān)鍵設(shè)備就是變頻器它決定整個(gè)調(diào)速系統(tǒng)的性能。目前使用較多的是“交直交”變頻,將50Hz交流整流為直流電Ud,再由三相逆變器將直流逆變?yōu)轭l率可調(diào)的三相交流供給鼠籠電機(jī)實(shí)現(xiàn)變頻調(diào)速。頻率的下降會(huì)導(dǎo)致磁通的增加,造成磁路飽和,勵(lì)磁電流增加,功率因數(shù)下降,鐵心和線圈過熱。2.2.2 變頻調(diào)速的控制原理變頻調(diào)速裝置主電路由空氣開關(guān)QF1,交流接觸器KM1和變頻器VF組成,由安裝在配電柜面板上的轉(zhuǎn)換開關(guān)SA,復(fù)位開關(guān)SB;或安裝在現(xiàn)場(chǎng)防爆操作柱上啟動(dòng)按鈕SB和停止按鈕SB2控制VF的運(yùn)行:(1)啟動(dòng)VF時(shí)必須先合上QF1和QF2,使

27、SA置于啟動(dòng)位置,KM1便帶動(dòng)電觸點(diǎn)閉合,來(lái)電顯示燈HL2亮;此時(shí)按下SB,也可以按下現(xiàn)場(chǎng)SB1使KA1帶電觸點(diǎn)閉合,VF投入運(yùn)行同時(shí)運(yùn)行指示燈HL3亮。(2)需要停止VF時(shí),按下SB2使KA1失電,VF停止運(yùn)行,此時(shí)HL3滅;置SA于停止位置,KM1斷開同時(shí)HL1亮,表示停機(jī)。(3)如果在運(yùn)行過程中VF有故障FLA、FLC端口將短接,KA2帶電,KM帶電其觸點(diǎn)斷開,同時(shí)故障指示燈HL3亮并報(bào)警。由于工藝條件復(fù)雜,實(shí)際運(yùn)行過程中有多方面不確定因素,為安全其見,每臺(tái)變頻器均加有一旁路接觸器 KM2;如果KM1或VF發(fā)生故障時(shí)保證電機(jī)仍能變頻運(yùn)行。變頻調(diào)速實(shí)行閉環(huán)負(fù)反饋?zhàn)詣?dòng)控制即由儀表裝置供給變頻

28、器1V和CC端口420MA電信號(hào),靠信號(hào)大小改變來(lái)控制VF頻率高低變化達(dá)到調(diào)節(jié)電動(dòng)機(jī)轉(zhuǎn)速和輸出功率的目的,原理圖如圖2.1所示:?jiǎn)纹瑱C(jī)控制系統(tǒng)變頻器變頻器壓力傳感器AD放大器圖2.1 系統(tǒng)的控制原理圖2.2.3 變頻調(diào)速的性能比較變頻調(diào)速相對(duì)于傳統(tǒng)的電磁調(diào)速有著很好的性能,以下是兩者的比較見表1:比較項(xiàng)目變頻調(diào)速電磁調(diào)速保護(hù)功能有電機(jī)過熱,過流,過載,過壓,欠壓,缺相,接地等保護(hù),使電機(jī)運(yùn)行更安全可靠無(wú)保護(hù),需另加電機(jī)保護(hù)裝置。節(jié)電功能根據(jù)負(fù)載調(diào)整輸出電壓的高低,最大限度地提高電機(jī)的功率因數(shù)和效率,在減速時(shí)能自動(dòng)將電動(dòng)機(jī)的再生能量反饋到供電電網(wǎng),實(shí)現(xiàn)再生反饋制動(dòng),節(jié)電效果明顯。無(wú)節(jié)電功能,需另

29、加節(jié)電控制裝置。軟啟動(dòng)功能啟動(dòng)時(shí)電壓,電流,轉(zhuǎn)矩距隨加速時(shí)間逐漸增大,使電機(jī)非常平穩(wěn)地加速無(wú)任何沖擊。無(wú)軟啟動(dòng)功能,對(duì)較大功率的 電機(jī)需另加控制器??刂乒δ芏喽无D(zhuǎn)速,正,反轉(zhuǎn),同步,比例運(yùn)行,PID控制,PLC控制,PC閉環(huán)控制,計(jì)算機(jī)控制等。無(wú)控制功能,需另加控制裝置。制動(dòng)功能制動(dòng)過程可隨意控制無(wú)制動(dòng)功能,需另加制動(dòng)裝置。調(diào)速范圍050Hz連續(xù)可調(diào)只能接近而不能超過額定轉(zhuǎn)速,且不能長(zhǎng)時(shí)間運(yùn)行。效率COS95%,且與轉(zhuǎn)速無(wú)關(guān),輸入功率隨轉(zhuǎn)速的 下降而降低,節(jié)電效果明顯。隨轉(zhuǎn)速的下降而降低,不管轉(zhuǎn)速高低輸入功率基本不變,電源浪費(fèi)較大。轉(zhuǎn)速變化率機(jī)械特性硬,轉(zhuǎn)速與負(fù)載大小幾乎無(wú)關(guān)。機(jī)械特性軟,尤其

30、在低速時(shí)受負(fù)載影響較大。表1 變頻調(diào)速的性能比較以上比較可看出變頻調(diào)速無(wú)論是調(diào)速性能還是控制性能都遠(yuǎn)遠(yuǎn)超過電磁調(diào)速,而且其重要特點(diǎn)是能自動(dòng)將電動(dòng)機(jī)的再生能量反饋到供電電網(wǎng),實(shí)現(xiàn)再生反饋制動(dòng),節(jié)電效果明顯 ,較之電磁調(diào)速相比,可節(jié)省電能在35以上。由此可以看出變頻涮速系統(tǒng)有很大的發(fā)展空間,是未來(lái)自動(dòng)化調(diào)速系統(tǒng)發(fā)展的方向。2.3 變頻器變頻器是利用電力半導(dǎo)體器件的通斷作用將工頻電源變換為另一頻率的電能控制裝置。我們現(xiàn)在使用的變頻器主要采用交直交方式(VVVF變頻或矢量控制變頻),先把工頻交流電源通過整流器轉(zhuǎn)換成直流電源,然后再把直流電源轉(zhuǎn)換成頻率、電壓均可控制的交流電源以供給電動(dòng)機(jī)。變頻器的電路一

31、般由整流、中間直流環(huán)節(jié)、逆變和控制4個(gè)部分組成。整流部分為三相橋式不可控整流器,逆變部分為IGBT三相橋式逆變器,且輸出為PWM波形,中間直流環(huán)節(jié)為濾波、直流儲(chǔ)能和緩沖無(wú)功功率。 2.3.1 變頻器的選型變頻器選型時(shí)要確定以下幾點(diǎn):(1)采用變頻的目的;恒壓控制或恒流控制等。(2)變頻器的負(fù)載類型;如葉片泵或容積泵等,特別注意負(fù)載的性能曲線,性能曲線決定了應(yīng)用時(shí)的方式方法。(3)變頻器與負(fù)載的匹配問題; 電壓匹配;變頻器的額定電壓與負(fù)載的額定電壓相符。 電流匹配;普通的離心泵,變頻器的額定電流與電機(jī)的額定電流相符。對(duì)于特殊的負(fù)載如深水泵等則需要參考電機(jī)性能參數(shù),以最大電流確定變頻器電流和過載能

32、力。 轉(zhuǎn)矩匹配;這種情況在恒轉(zhuǎn)矩負(fù)載或有減速裝置時(shí)有可能發(fā)生。(4)在使用變頻器驅(qū)動(dòng)高速電機(jī)時(shí),由于高速電機(jī)的電抗小,高次諧波增加導(dǎo)致輸出電流值增大。因此用于高速電機(jī)的變頻器的選型,其容量要稍大于普通電機(jī)的選型。(5)變頻器如果要長(zhǎng)電纜運(yùn)行時(shí),此時(shí)要采取措施抑制長(zhǎng)電纜對(duì)地耦合電容的影響,避免變頻器出力不足,所以在這樣情況下,變頻器容量要放大一檔或者在變頻器的輸出端安裝輸出電抗器。(6)對(duì)于一些特殊的應(yīng)用場(chǎng)合,如高溫,高海拔,此時(shí)會(huì)引起變頻器的降容,變頻器容量要放大一擋。 2.3.2 變頻器的工作原理變頻器是一種將電網(wǎng)電源整流后再逆變成頻率、電壓可變的交流電,供三相交流電動(dòng)機(jī)專用的。電源裝置變頻

33、調(diào)速的主裝置的主回路由充電接觸器、進(jìn)線電抗器、充電電容、平波電容絹和6組SKIIP模塊組成。而6個(gè)SKIIP模塊組成二組,三相橋式交流電路,其中一組為變頻器輸出逆變器;另一組為向發(fā)電電網(wǎng)反饋的逆變橋。在變頻調(diào)速時(shí),電動(dòng)機(jī)的轉(zhuǎn)矩Tmax =Cm (uf)2 式中:Cm-電動(dòng)機(jī)常數(shù);u-電源電壓;f-電源頻率。如果在改變f的同時(shí)同步改變電源電壓u即可實(shí)現(xiàn)轉(zhuǎn)矩T不變的調(diào)速性能其原理圖如圖2.2所示。圖2.2 變頻器原理圖2.3.3 頻器的運(yùn)行和相關(guān)參數(shù)的設(shè)置 變頻器的設(shè)定參數(shù)多,每個(gè)參數(shù)均有一定的選擇范圍,使用中常常遇到因個(gè)別參數(shù)設(shè)置不當(dāng),導(dǎo)致變頻器不能正常工作的現(xiàn)象??刂品绞剑杭此俣瓤刂?、轉(zhuǎn)矩控制

34、、PID控制或其他方式。采取控制方式后,一般要根據(jù)控制精度,需要進(jìn)行靜態(tài)或動(dòng)態(tài)辨識(shí)。 最低運(yùn)行頻率:即電機(jī)運(yùn)行的最小轉(zhuǎn)速,電機(jī)在低轉(zhuǎn)速下運(yùn)行時(shí),其散熱性能很差,電機(jī)長(zhǎng)時(shí)間運(yùn)行在低轉(zhuǎn)速下,會(huì)導(dǎo)致電機(jī)燒毀。而且低速時(shí),其電纜中的電流也會(huì)增大,也會(huì)導(dǎo)致電纜發(fā)熱。最高運(yùn)行頻率:一般的變頻器最大頻率到60Hz,有的甚至到400Hz,高頻率將使電機(jī)高速運(yùn)轉(zhuǎn),這對(duì)普通電機(jī)來(lái)說(shuō),其軸承不能長(zhǎng)時(shí)間的超額定轉(zhuǎn)速運(yùn)行,電機(jī)的轉(zhuǎn)子是否能承受這樣的離心力。 載波頻率:載波頻率設(shè)置的越高其高次諧波分量越大,這和電纜的長(zhǎng)度,電機(jī)發(fā)熱電纜發(fā)熱變頻器發(fā)熱等因素是密切相關(guān)的。 電機(jī)參數(shù):變頻器在參數(shù)中設(shè)定電機(jī)的功率、電流、電壓、

35、轉(zhuǎn)速、最大頻率,這些參數(shù)可以從電機(jī)銘牌中直接得到。 跳頻:在某個(gè)頻率點(diǎn)上,有可能會(huì)發(fā)生共振現(xiàn)象,特別在整個(gè)裝置比較高時(shí);在控制壓縮機(jī)時(shí),要避免壓縮機(jī)的喘振點(diǎn)。 2.4 變頻調(diào)速的應(yīng)用2.4.1 變頻調(diào)速技術(shù)的實(shí)際運(yùn)用分析(1)變頻調(diào)速實(shí)行工藝過程控制,由于生產(chǎn)流程和工藝條件的復(fù)雜性;不通過實(shí)踐有些問題不被人們認(rèn)識(shí),只有通過實(shí)踐才能找出解決這此問題方法和途徑。 閉環(huán)控制回路中,變頻器作用類似風(fēng)開式調(diào)節(jié)閥,對(duì)于實(shí)用風(fēng)關(guān)式調(diào)節(jié)閥控制回路需在變頻器上設(shè)定最低下降頻率,當(dāng)儀表裝置故障時(shí)變頻器輸出最低頻率,保證電機(jī)運(yùn)轉(zhuǎn),維持工藝流程最低安全量,不至于生產(chǎn)中斷。(2)機(jī)泵有多條支路情況是變頻調(diào)速閉環(huán)控制難點(diǎn)

36、。這里考慮因素很多,情況也千差萬(wàn)別,選定控制方案要進(jìn)行縝密分析和細(xì)致比較,否則會(huì)造成項(xiàng)目失敗。一般情況下多條支路流量壓力差別較大時(shí),選擇流量大或壓力高的支路作為調(diào)節(jié)參數(shù),控制變頻器,其它支路采用調(diào)節(jié)閥,當(dāng)量上的支路控制參數(shù)發(fā)生變化或擾動(dòng)所需調(diào)節(jié)量很小,不致于對(duì)量的支路造成影響,而量大的支路,控制參數(shù)變化所需的調(diào)節(jié)量,能滿足小支路的調(diào)節(jié),最終達(dá)到平衡。(3)所有變頻器均安裝在配電柜機(jī),安全起見,均加有旁路接觸器KM2,當(dāng)變頻調(diào)速裝置出現(xiàn)故障時(shí),電機(jī)可以自動(dòng)切換到旁路正常運(yùn)行,這一點(diǎn)是很重要的,因?yàn)樽冾l器的許多操作情況我們不很熟悉一旦出故障便失去調(diào)節(jié)手段。2.4.2 我國(guó)變頻調(diào)速技術(shù)的應(yīng)用縱觀我國(guó)

37、變頻調(diào)速技術(shù)的應(yīng)用,總的說(shuō)來(lái)走的是一個(gè)由試驗(yàn)到實(shí)用,由零星到大范圍,由輔助系統(tǒng)到生產(chǎn)裝置,由單純考慮節(jié)能到全民改善工藝水平,由手動(dòng)控制到自動(dòng)控制,由于低壓中小容量到高壓大容量,一句話,由低級(jí)到高級(jí)的過程。多年來(lái),國(guó)家經(jīng)貿(mào)委一直會(huì)同國(guó)家有關(guān)部門致力于變頻調(diào)速技術(shù)的開發(fā)及推廣應(yīng)用,在技術(shù)開發(fā)、技術(shù)改造方面給予了重點(diǎn)扶持,組織了變頻調(diào)速技術(shù)的評(píng)測(cè)推薦工作,并把推廣應(yīng)用變頻調(diào)速技術(shù)作為風(fēng)機(jī)、水泵節(jié)能技改專項(xiàng)的重點(diǎn)投資方向,同時(shí)鼓勵(lì)單位開展統(tǒng)貸統(tǒng)還方式,抓開發(fā)、抓示范工程、抓推廣應(yīng)用。據(jù)有關(guān)資料表明,我國(guó)變頻調(diào)速技術(shù)已經(jīng)取得了如下成績(jī)。(1)變頻調(diào)速技術(shù)的應(yīng)用范圍已發(fā)展到新階段。石油、石化、機(jī)械、冶金等

38、行業(yè)都經(jīng)過了單系統(tǒng)試用、大量使用和整套裝置系統(tǒng)使用3個(gè)發(fā)展階段。(2)變頻調(diào)速技術(shù)已成為節(jié)約能源及提高產(chǎn)品質(zhì)量的有效措施。很多用戶實(shí)踐的結(jié)果證明,節(jié)電率一般在10% 30%,更重要的是生產(chǎn)中一些技術(shù)難點(diǎn)也得到解決。變頻調(diào)速技術(shù)作為高新技術(shù)、基礎(chǔ)技術(shù)、和節(jié)能技術(shù),已經(jīng)滲透到經(jīng)濟(jì)領(lǐng)域的所有技術(shù)部門中。我國(guó)以后在變頻調(diào)速技術(shù)方面應(yīng)積極做的工作如下:(1)應(yīng)用變頻調(diào)速技術(shù)來(lái)改造傳統(tǒng)的產(chǎn)業(yè),節(jié)約能源及提高產(chǎn)品質(zhì)量,獲得較好的經(jīng)濟(jì)效益和社會(huì)效益。(2)大力發(fā)展變頻調(diào)速技術(shù),必需把我國(guó)變頻調(diào)速技術(shù)提高到一個(gè)新水平,縮小與世界先進(jìn)水平的差距,提高自主開發(fā)能力,滿足國(guó)民經(jīng)濟(jì)重點(diǎn)工程建設(shè)和市場(chǎng)的需求。(3)規(guī)范我

39、國(guó)變頻調(diào)速技術(shù)方面的標(biāo)準(zhǔn),提高產(chǎn)品可靠性工藝水平,實(shí)現(xiàn)規(guī)?;?、標(biāo)準(zhǔn)化生產(chǎn)。第3章 脈寬調(diào)制技術(shù)脈寬調(diào)制(PWM的全稱是Pulse Width Modulation(脈沖寬度調(diào)制))是利用微處理器的數(shù)字輸出來(lái)對(duì)模擬電路進(jìn)行控制的一種非常有效的技術(shù),廣泛應(yīng)用在從測(cè)量、通信到功率控制與變換的許多領(lǐng)域中。 采樣控制理論中有一個(gè)重要結(jié)論:沖量相等而形狀不同的窄脈沖加在具有慣性的環(huán)節(jié)上時(shí),其效果基本相同。PWM控制技術(shù)就是以該結(jié)論為理論基礎(chǔ),對(duì)半導(dǎo)體開關(guān)器件的導(dǎo)通和關(guān)斷進(jìn)行控制,使輸出端得到一系列幅值相等而寬度不相等的脈沖,用這些脈沖來(lái)代替正弦波或其他所需要的波形。按一定的規(guī)則對(duì)各脈沖的寬度進(jìn)行調(diào)制,既可

40、改變逆變電路輸出電壓的大小,也可改變輸出頻率。 PWM控制的基本原理很早就已經(jīng)提出,但是受電力電子器件發(fā)展水平的制約,在上世紀(jì)80年代以前一直未能實(shí)現(xiàn)。直到進(jìn)入上世紀(jì)80年代,隨著全控型電力電子器件的出現(xiàn)和迅速發(fā)展,PWM控制技術(shù)才真正得到應(yīng)用。隨著電力電子技術(shù)、微電子技術(shù)和自動(dòng)控制技術(shù)的發(fā)展以及各種新的理論方法,如現(xiàn)代控制理論、非線性系統(tǒng)控制思想的應(yīng)用,PWM控制技術(shù)獲得了空前的發(fā)展。到目前為止,已出現(xiàn)了多種PWM控制技術(shù),根據(jù)PWM控制技術(shù)的特點(diǎn),到目前為止主要有以下8類方法。 3.1 相電壓控制PWM 3.1.1 等脈寬PWM法VVVF(Variable Voltage Variable

41、 Frequency)裝置在早期是采用PAM(Pulse Amplitude Modulation)控制技術(shù)來(lái)實(shí)現(xiàn)的,其逆變器部分只能輸出頻率可調(diào)的方波電壓而不能調(diào)壓。等脈寬PWM法正是為了克服PAM法的這個(gè)缺點(diǎn)發(fā)展而來(lái)的,是PWM法中最為簡(jiǎn)單的一種。它是把每一脈沖的寬度均相等的脈沖列作為PWM波,通過改變脈沖列的周期可以調(diào)頻,改變脈沖的寬度或占空比可以調(diào)壓,采用適當(dāng)控制方法即可使電壓與頻率協(xié)調(diào)變化。 3.1.2 隨機(jī)PWM 其原理是隨機(jī)改變開關(guān)頻率使電機(jī)電磁噪音近似為限帶白噪聲(在線性頻率坐標(biāo)系中,各頻率能量分布是均勻的),盡管噪音的總分貝數(shù)未變,但以固定開關(guān)頻率為特征的有色噪音強(qiáng)度大大削弱

42、。正因?yàn)槿绱?,即使在IGBT已被廣泛應(yīng)用的今天,對(duì)于載波頻率必須限制在較低頻率的場(chǎng)合,隨機(jī)PWM仍然有其特殊的價(jià)值;另一方面則說(shuō)明了消除機(jī)械和電磁噪音的最佳方法不是盲目地提高工作頻率,隨機(jī)PWM技術(shù)正是提供了一個(gè)分析、解決這種問題的全新思路。 3.1.3 SPWM法 SPWM(Sinusoidal PWM)法是一種比較成熟的、目前使用較廣泛的PWM法。前面提到的采樣控制理論中的一個(gè)重要結(jié)論:沖量相等而形狀不同的窄脈沖加在具有慣性的環(huán)節(jié)上時(shí),其效果基本相同。SPWM法就是以該結(jié)論為理論基礎(chǔ),用脈沖寬度按正弦規(guī)律變化而和正弦波等效的PWM波形即SPWM波形控制逆變電路中開關(guān)器件的通斷,使其輸出的脈

43、沖電壓的面積與所希望輸出的正弦波在相應(yīng)區(qū)間內(nèi)的面積相等,通過改變調(diào)制波的頻率和幅值則可調(diào)節(jié)逆變電路輸出電壓的頻率和幅值。該方法的實(shí)現(xiàn)有以下幾種方案。 等面積法 硬件調(diào)制法 軟件生成法 低次諧波消去法 3.1.4 梯形波與三角波比較法前面所介紹的各種方法主要是以輸出波形盡量接近正弦波為目的,從而忽視了直流電壓的利用率,如SPWM法,其直流電壓利用率僅為86.6%。因此,為了提高直流電壓利用率,提出了一種新的方法梯形波與三角波比較法。該方法是采用梯形波作為調(diào)制信號(hào),三角波為載波,且使兩波幅值相等,以兩波的交點(diǎn)時(shí)刻控制開關(guān)器件的通斷實(shí)現(xiàn)PWM控制。 由于當(dāng)梯形波幅值和三角波幅值相等時(shí),其所含的基波分

44、量幅值已超過了三角波幅值,從而可以有效地提高直流電壓利用率。但由于梯形波本身含有低次諧波,所以輸出波形中含有5次、7次等低次諧波。 3.2 線電壓控制PWM 前面所介紹的各種PWM控制方法用于三相逆變電路時(shí),都是對(duì)三相輸出相電壓分別進(jìn)行控制的,使其輸出接近正弦波,但是,對(duì)于像三相異步電動(dòng)機(jī)這樣的三相無(wú)中線對(duì)稱負(fù)載,逆變器輸出不必追求相電壓接近正弦,而可著眼于使線電壓趨于正弦。因此,提出了線電壓控制PWM,主要有以下兩種方法。 3.2.1 馬鞍形波與三角波比較法馬鞍形波與三角波比較法也就是諧波注入PWM方式(HIPWM),其原理是在正弦波中加入一定比例的三次諧波,調(diào)制信號(hào)便呈現(xiàn)出馬鞍形,而且幅值

45、明顯降低,于是在調(diào)制信號(hào)的幅值不超過載波幅值的情況下,可以使基波幅值超過三角波幅值,提高了直流電壓利用率。在三相無(wú)中線系統(tǒng)中,由于三次諧波電流無(wú)通路,所以三個(gè)線電壓和線電流中均不含三次諧波。除了可以注入三次諧波以外,還可以注入其他3倍頻于正弦波信號(hào)的其他波形,這些信號(hào)都不會(huì)影響線電壓。這是因?yàn)?,?jīng)過PWM調(diào)制后逆變電路輸出的相電壓也必然包含相應(yīng)的3倍頻于正弦波信號(hào)的諧波,但在合成線電壓時(shí),各相電壓中的這些諧波將互相抵消,從而使線電壓仍為正弦波。 3.2.2 單元脈寬調(diào)制法因?yàn)椋鄬?duì)稱線電壓有Uuv+Uvw+Uwu=0的關(guān)系,所以,某一線電壓任何時(shí)刻都等于另外兩個(gè)線電壓負(fù)值之和?,F(xiàn)在把一個(gè)周期

46、等分為6個(gè)區(qū)間,每區(qū)間60,對(duì)于某一線電壓例如Uuv,半個(gè)周期兩邊60區(qū)間用Uuv本身表示,中間60區(qū)間用-(Uvw+Uwu)表示,當(dāng)將Uvw和Uwu作同樣處理時(shí),就可以得到三相線電壓波形只有半周內(nèi)兩邊60區(qū)間的兩種波形形狀,并且有正有負(fù)。把這樣的電壓波形作為脈寬調(diào)制的參考信號(hào),載波仍用三角波,并把各區(qū)間的曲線用直線近似(實(shí)踐表明,這樣做引起的誤差不大,完全可行),就可以得到線電壓的脈沖波形,該波形是完全對(duì)稱,且規(guī)律性很強(qiáng),負(fù)半周是正半周相應(yīng)脈沖列的反相,因此,只要半個(gè)周期兩邊60區(qū)間的脈沖列一經(jīng)確定,線電壓的調(diào)制脈沖波形就唯一的確定了。這個(gè)脈沖并不是開關(guān)器件的驅(qū)動(dòng)脈沖信號(hào),但由于已知三相線電

47、壓的脈沖工作模式,就可以確定開關(guān)器件的驅(qū)動(dòng)脈沖信號(hào)了。 該方法不僅能抑制較多的低次諧波,還可減小開關(guān)損耗和加寬線性控制區(qū),同時(shí)還能帶來(lái)用微機(jī)控制的方便,但該方法只適用于異步電動(dòng)機(jī),應(yīng)用范圍較小。 3.3 電流控制PWM 電流控制PWM的基本思想是把希望輸出的電流波形作為指令信號(hào),把實(shí)際的電流波形作為反饋信號(hào),通過兩者瞬時(shí)值的比較來(lái)決定各開關(guān)器件的通斷,使實(shí)際輸出隨指令信號(hào)的改變而改變。其實(shí)現(xiàn)方案主要有以下3種。 3.3.1 滯環(huán)比較法這是一種帶反饋的PWM控制方式,即每相電流反饋回來(lái)與電流給定值經(jīng)過滯環(huán)比較器,得出的相應(yīng)橋臂開關(guān)器件的開關(guān)狀態(tài),使得實(shí)際電流跟蹤給定電流的變化。該方法的優(yōu)點(diǎn)是電路

48、簡(jiǎn)單,動(dòng)態(tài)性能好,輸出電壓不含特定頻率的諧波分量。其缺點(diǎn)是開關(guān)頻率不固定造成較為嚴(yán)重的噪音,和其他方法相比,在同一開關(guān)頻率下輸出電流中所含的諧波較多。 3.3.2 三角波比較法 該方法與SPWM法中的三角波比較方式不同,這里是把指令電流與實(shí)際輸出電流進(jìn)行比較,求出偏差電流,通過放大器放大后再和三角波進(jìn)行比較,產(chǎn)生PWM波。此時(shí)開關(guān)頻率一定,因而克服了滯環(huán)比較法頻率不固定的缺點(diǎn)。但是,這種方式電流響應(yīng)不如滯環(huán)比較法快。 3.3.3 預(yù)測(cè)電流控制法預(yù)測(cè)電流控制是在每個(gè)調(diào)節(jié)周期開始時(shí),根據(jù)實(shí)際電流誤差,負(fù)載參數(shù)及其它負(fù)載變量,來(lái)預(yù)測(cè)電流誤差矢量趨勢(shì),因此,下一個(gè)調(diào)節(jié)周期由PWM產(chǎn)生的電壓矢量必將減小

49、所預(yù)測(cè)的誤差。該方法的優(yōu)點(diǎn)是,若給調(diào)節(jié)器除誤差外更多的信息,則可獲得比較快速、準(zhǔn)確的響應(yīng)。目前,這類調(diào)節(jié)器的局限性是響應(yīng)速度及過程模型系數(shù)參數(shù)的準(zhǔn)確性。 3.4 空間電壓矢量控制PWM空間電壓矢量控制PWM(SVPWM)也叫磁通正弦PWM法。它以三相波形整體生成效果為前提,以逼近電機(jī)氣隙的理想圓形旋轉(zhuǎn)磁場(chǎng)軌跡為目的,用逆變器不同的開關(guān)模式所產(chǎn)生的實(shí)際磁通去逼近基準(zhǔn)圓磁通,由它們的比較結(jié)果決定逆變器的開關(guān),形成PWM波形。此法從電動(dòng)機(jī)的角度出發(fā),把逆變器和電機(jī)看作一個(gè)整體,以內(nèi)切多邊形逼近圓的方式進(jìn)行控制,使電機(jī)獲得幅值恒定的圓形磁場(chǎng)(正弦磁通)。 具體方法又分為磁通開環(huán)式和磁通閉環(huán)式。磁通開環(huán)

50、法用兩個(gè)非零矢量和一個(gè)零矢量合成一個(gè)等效的電壓矢量,若采樣時(shí)間足夠小,可合成任意電壓矢量。此法輸出電壓比正弦波調(diào)制時(shí)提高15%,諧波電流有效值之和接近最小。磁通閉環(huán)式引入磁通反饋,控制磁通的大小和變化的速度。在比較估算磁通和給定磁通后,根據(jù)誤差決定產(chǎn)生下一個(gè)電壓矢量,形成PWM波形。這種方法克服了磁通開環(huán)法的不足,解決了電機(jī)低速時(shí),定子電阻影響大的問題,減小了電機(jī)的脈動(dòng)和噪音。但由于未引入轉(zhuǎn)矩的調(diào)節(jié),系統(tǒng)性能沒有得到根本性的改善。 3.5 矢量控制PWM 矢量控制也稱磁場(chǎng)定向控制,其原理是將異步電動(dòng)機(jī)在三相坐標(biāo)系下的定子電流Ia,Ib及Ic,通過三相/二相變換,等效成兩相靜止坐標(biāo)系下的交流電流

51、Ia1及Ib1,再通過按轉(zhuǎn)子磁場(chǎng)定向旋轉(zhuǎn)變換,等效成同步旋轉(zhuǎn)坐標(biāo)系下的直流電流Im1及It1(Im1相當(dāng)于直流電動(dòng)機(jī)的勵(lì)磁電流;It1相當(dāng)于與轉(zhuǎn)矩成正比的電樞電流),然后模仿對(duì)直流電動(dòng)機(jī)的控制方法,實(shí)現(xiàn)對(duì)交流電動(dòng)機(jī)的控制。其實(shí)質(zhì)是將交流電動(dòng)機(jī)等效為直流電動(dòng)機(jī),分別對(duì)速度、磁場(chǎng)兩個(gè)分量進(jìn)行獨(dú)立控制。通過控制轉(zhuǎn)子磁鏈,然后分解定子電流而獲得轉(zhuǎn)矩和磁場(chǎng)兩個(gè)分量,經(jīng)坐標(biāo)變換,實(shí)現(xiàn)正交或解耦控制。 但是,由于轉(zhuǎn)子磁鏈難以準(zhǔn)確觀測(cè),以及矢量變換的復(fù)雜性,使得實(shí)際控制效果往往難以達(dá)到理論分析的效果,這是矢量控制技術(shù)在實(shí)踐上的不足。此外,它必須直接或間接地得到轉(zhuǎn)子磁鏈在空間上的位置才能實(shí)現(xiàn)定子電流解耦控制,在

52、這種矢量控制系統(tǒng)中需要配置轉(zhuǎn)子位置或速度傳感器,這顯然給許多應(yīng)用場(chǎng)合帶來(lái)不便。 3.6 直接轉(zhuǎn)矩控制PWM直接轉(zhuǎn)矩控制與矢量控制不同,它不是通過控制電流、磁鏈等量來(lái)間接控制轉(zhuǎn)矩,而是把轉(zhuǎn)矩直接作為被控量來(lái)控制,它也不需要解耦電機(jī)模型,而是在靜止的坐標(biāo)系中計(jì)算電機(jī)磁通和轉(zhuǎn)矩的實(shí)際值,然后,經(jīng)磁鏈和轉(zhuǎn)矩的Band-Band控制產(chǎn)生PWM信號(hào)對(duì)逆變器的開關(guān)狀態(tài)進(jìn)行最佳控制,從而在很大程度上解決了上述矢量控制的不足,能方便地實(shí)現(xiàn)無(wú)速度傳感器化,有很快的轉(zhuǎn)矩響應(yīng)速度和很高的速度及轉(zhuǎn)矩控制精度,并以新穎的控制思想、簡(jiǎn)潔明了的系統(tǒng)結(jié)構(gòu)、優(yōu)良的動(dòng)靜態(tài)性能得到了迅速發(fā)展。但直接轉(zhuǎn)矩控制也存在缺點(diǎn),如逆變器開關(guān)頻

53、率的提高有限制。 3.7 非線性控制PWM 單周控制法又稱積分復(fù)位控制(Integration Reset Control,簡(jiǎn)稱IRC),是一種新型非線性控制技術(shù),其基本思想是控制開關(guān)占空比,在每個(gè)周期使開關(guān)變量的平均值與控制參考電壓相等或成一定比例。該技術(shù)同時(shí)具有調(diào)制和控制的雙重性,通過復(fù)位開關(guān)、積分器、觸發(fā)電路、比較器達(dá)到跟蹤指令信號(hào)的目的。單周控制器由控制器、比較器、積分器及時(shí)鐘組成,其中控制器可以是RS觸發(fā)器。單周控制在控制電路中不需要誤差綜合,它能在一個(gè)周期內(nèi)自動(dòng)消除穩(wěn)態(tài)、瞬態(tài)誤差,使前一周期的誤差不會(huì)帶到下一周期。雖然硬件電路較復(fù)雜,但其克服了傳統(tǒng)的PWM控制方法的不足,適用于各種

54、脈寬調(diào)制軟開關(guān)逆變器,具有反應(yīng)快、開關(guān)頻率恒定、魯棒性強(qiáng)等優(yōu)點(diǎn)。 第4章 系統(tǒng)的硬件設(shè)計(jì)變頻調(diào)速技術(shù)是近20年內(nèi)發(fā)展起來(lái)的一門新技術(shù)。隨著電力電子技術(shù)的日益發(fā)展和PWM控制技術(shù)的成熟, 利用電機(jī)的轉(zhuǎn)速和輸入電源的頻率是線性關(guān)系這一原理, 將50Hz 的交流電通過整流和逆變轉(zhuǎn)換為頻率可調(diào)的電源, 供給異步電動(dòng)機(jī),實(shí)現(xiàn)調(diào)速的目的。利用單片機(jī)組成的變頻調(diào)速控制器可以實(shí)現(xiàn)從低頻(12Hz) 起動(dòng)到50Hz ,可以消除以往工頻50Hz 直接起動(dòng)對(duì)電機(jī)的沖擊, 延長(zhǎng)電機(jī)的使用壽命,同時(shí)由于變頻器的輸出電壓可以自適應(yīng)調(diào)節(jié), 使負(fù)載電機(jī)可以工作在額定電壓以下,不僅節(jié)能且可延長(zhǎng)電機(jī)的使用壽命。4.1 系統(tǒng)工作原

55、理交流變頻調(diào)速系統(tǒng)原理框圖如圖4.1所示,從結(jié)構(gòu)上主要分為控制部分和執(zhí)行部分。單片機(jī)、時(shí)鐘電路、通訊接口、鍵盤與顯示電路、光電耦合、IPM逆變器、整流模塊、轉(zhuǎn)速檢測(cè)和故障檢測(cè)、報(bào)警電路等組成。執(zhí)行部分為三相異步交流電動(dòng)機(jī)。逆變及驅(qū)動(dòng)電路檢測(cè)電路整流電路M濾 波電 路 人機(jī)接口電路光電隔離相電流檢測(cè)測(cè)轉(zhuǎn)速檢測(cè)計(jì)算機(jī)8051圖4.1 基于8051的變頻調(diào)速系統(tǒng)原理方框圖系統(tǒng)的工作原理為:電機(jī)的轉(zhuǎn)速由轉(zhuǎn)速傳感器轉(zhuǎn)換成矩形脈沖信號(hào),經(jīng)光電隔離后進(jìn)入單片機(jī)計(jì)數(shù)器,由計(jì)數(shù)器值獲得電機(jī)的實(shí)際轉(zhuǎn)速,與設(shè)定轉(zhuǎn)速比較,經(jīng)Fuzzy-PID控制器調(diào)節(jié)后,單片機(jī)產(chǎn)生的PWM波經(jīng)6N137線性光耦進(jìn)行電氣隔離后作用于逆

56、變模塊IPM(intelligent power module),實(shí)現(xiàn)電機(jī)的閉環(huán)變頻調(diào)速。霍爾電流、電壓傳感器將檢測(cè)到的逆變模塊的三相輸出電流、電壓信號(hào),經(jīng)采樣保持后進(jìn)入單片機(jī),完成A/D轉(zhuǎn)換后,由CPU進(jìn)行處理。逆變模塊工作時(shí)所需要的直流電壓信號(hào)由整流電路對(duì)380V電源進(jìn)行全橋整流得到。 基于8051的變頻調(diào)速系統(tǒng)的總體設(shè)計(jì)方案方框圖如圖4.1所示。本系統(tǒng)采用TI公司的TMS320LF2407A為控制核心,逆變驅(qū)動(dòng)電路芯片采用美國(guó)國(guó)際整流公司的IR2I32。主要由主電路(整流電路、逆變和逆變驅(qū)動(dòng)電路、檢測(cè)電路、濾波電路)、光電隔離電路、過壓保護(hù)電路、8051控制電路和人機(jī)接口電路組成。4.1

57、.1 SPWM技術(shù)原理SPWM技術(shù)的基本原理是利用一個(gè)三角波載波和一個(gè)正弦波進(jìn)行比較,得到一個(gè)寬度按正弦規(guī)律變化的脈沖序列,用它們來(lái)驅(qū)動(dòng)逆變器開關(guān)管的開關(guān)轉(zhuǎn)換。由微控制器來(lái)實(shí)現(xiàn)SPWM波形的方法有表格法、隨時(shí)計(jì)算法和實(shí)時(shí)計(jì)算法,但前兩種無(wú)實(shí)時(shí)處理能力。采用實(shí)時(shí)計(jì)算法要有數(shù)學(xué)模型,其中一種較為常用的是采樣型SPWM法,它分為自然采樣法、對(duì)稱規(guī)則采樣法和不對(duì)稱規(guī)則采樣法。圖4.1是典型的單極性對(duì)稱規(guī)則采樣法,它只在三角波的峰值時(shí)刻采樣正弦調(diào)制波并將采樣值保持,分別取保持值和三角波交點(diǎn)作為脈沖寬度時(shí)間。圖中Ts為三角波的周期,同時(shí)也是采樣周期;Ur為三角波的高,正弦波為Ucsint。根據(jù)三角形相似關(guān)

58、系,得到所以,其中,M=Uc/Ur為調(diào)制比,t為采樣點(diǎn)(這里為頂點(diǎn)采樣)的時(shí)刻。則脈沖寬度為,采樣點(diǎn)時(shí)刻t只與載波比N有關(guān)。對(duì)于圖4.2情況有t=kTS+,其中k=0,1,2,N-1,=180/N度。圖4.2 對(duì)稱規(guī)則采樣法在對(duì)稱規(guī)則采樣情況下,只要知道采樣點(diǎn)時(shí)刻t就可以確定這個(gè)采樣周期內(nèi)的脈沖寬度Tpw和時(shí)間間隔Toff,從而可以計(jì)算出SPWM波形高、低脈沖的寬度。4.1.2 C8051實(shí)現(xiàn)SPWM波形的原理及算法(1) C8051F系列單片機(jī)PCA簡(jiǎn)介C8051F系列單片機(jī)都具有一個(gè)可編程計(jì)數(shù)器陣列PCA,以C8051F040為例,PCA包含1個(gè)專用的16位計(jì)數(shù)器/定時(shí)器和6個(gè)16位捕捉/比較模塊,可以輸出6路PWM波形。如圖2所示,16位P

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論