課程設(shè)計(jì)基于單片機(jī)的數(shù)字式秒表的設(shè)計(jì)_第1頁
課程設(shè)計(jì)基于單片機(jī)的數(shù)字式秒表的設(shè)計(jì)_第2頁
課程設(shè)計(jì)基于單片機(jī)的數(shù)字式秒表的設(shè)計(jì)_第3頁
課程設(shè)計(jì)基于單片機(jī)的數(shù)字式秒表的設(shè)計(jì)_第4頁
課程設(shè)計(jì)基于單片機(jī)的數(shù)字式秒表的設(shè)計(jì)_第5頁
已閱讀5頁,還剩29頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 畢業(yè)設(shè)計(jì)課題名稱: 基于單片機(jī)秒表課程設(shè)計(jì) 設(shè)計(jì)時(shí)間: 2011.10.12 2010.12.28 系 部: 電氣工程系 班 級(jí): 電信s2009-2-24 總 目 錄 第一部分 任務(wù)書 第二部分 開題報(bào)告 第三部分 畢業(yè)設(shè)計(jì)正文基于單片機(jī)的數(shù)字式秒表的設(shè)計(jì) 第 一 部 分任務(wù)書湖南工業(yè)職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)任務(wù)書系 部電氣工程系指導(dǎo)老師劉云芳職 稱副教授學(xué)生姓名黃博宇班 級(jí) 電信s2009-2-24學(xué) 號(hào)24設(shè)計(jì)題目智能防火防盜報(bào)警系統(tǒng)設(shè)計(jì)設(shè)計(jì)內(nèi)容目標(biāo)和要求畢業(yè)設(shè)計(jì)內(nèi)容和目標(biāo):1、設(shè)計(jì)內(nèi)容:針對(duì)國內(nèi)外的發(fā)展情況,可見住宅智能安全防范系統(tǒng)是我國未來智能住宅建設(shè)的重點(diǎn)發(fā)展方向。本課題要設(shè)計(jì)的智能

2、住宅防盜防火報(bào)警系統(tǒng)是依托公共電話網(wǎng)做傳輸媒體的電話報(bào)警系統(tǒng),與傳統(tǒng)的區(qū)域報(bào)警系統(tǒng)相比,它具有傳輸距離遠(yuǎn)、硬件簡(jiǎn)單、安裝方便的優(yōu)點(diǎn),而對(duì)于實(shí)行物業(yè)管理的居民區(qū)以及機(jī)關(guān)、事業(yè)單位的辦公區(qū)則采用分戶對(duì)值班室的聯(lián)網(wǎng)方案。2、設(shè)計(jì)目的:本課題所設(shè)計(jì)的防盜防火報(bào)警系統(tǒng)符合國家建設(shè)部對(duì)普及型住宅小區(qū)的要求,實(shí)現(xiàn)功能包括:能對(duì)住宅的火災(zāi)實(shí)行自動(dòng)報(bào)警;還能對(duì)盜竊以及入室搶劫實(shí)行自動(dòng)報(bào)警;住宅設(shè)置緊急呼叫系統(tǒng);用戶端自動(dòng)報(bào)警器對(duì)各傳感器的信號(hào)進(jìn)行檢測(cè)和控制;用戶端自動(dòng)報(bào)警裝置對(duì)雙音多頻(dtmf)編、譯碼器控制,使住宅通過電話網(wǎng)實(shí)現(xiàn)與相關(guān)部門(小區(qū)管理中心或110 / 119 報(bào)警臺(tái))之間的數(shù)據(jù)交換,設(shè)置簡(jiǎn)潔,

3、操作方便。畢業(yè)設(shè)計(jì)論文要求:1、鞏固、加深和擴(kuò)大單片機(jī)應(yīng)用的知識(shí)面,提高綜合及靈活運(yùn)用所學(xué)知識(shí)解決工業(yè)控制的能力;2、培養(yǎng)針對(duì)課題需要,選擇和查閱有關(guān)手冊(cè)、圖表及文獻(xiàn)資料的自學(xué)能力,提高組成系統(tǒng)、編程、調(diào)試的動(dòng)手能力;教研室審核系部審核第 二 部 分開題報(bào)告湖南工業(yè)職業(yè)技術(shù)學(xué)院 電氣工程系09 屆畢業(yè)設(shè)計(jì)(論文)開題報(bào)告書學(xué)生姓名 譚競(jìng)爭(zhēng)專業(yè)機(jī)電一體化班級(jí)機(jī)電s2009-3學(xué)號(hào)37題 目智能防火防盜報(bào)警系統(tǒng)設(shè)計(jì)指導(dǎo)教師陳永革職稱副教授學(xué) 位學(xué)士題目類別 工程設(shè)計(jì) 基礎(chǔ)研究 應(yīng)用研究 其它【課題的內(nèi)容與要求】本課題所設(shè)計(jì)的防盜防火報(bào)警系統(tǒng)符合國家建設(shè)部對(duì)普及型住宅小區(qū)的要求,實(shí)現(xiàn)功能包括:能對(duì)住

4、宅的火災(zāi)實(shí)行自動(dòng)報(bào)警;還能對(duì)盜竊以及入室搶劫實(shí)行自動(dòng)報(bào)警;住宅設(shè)置緊急呼叫系統(tǒng);用戶端自動(dòng)報(bào)警器對(duì)各傳感器的信號(hào)進(jìn)行檢測(cè)和控制;用戶端自動(dòng)報(bào)警裝置對(duì)雙音多頻(dtmf)編、譯碼器控制,使住宅通過電話網(wǎng)實(shí)現(xiàn)與相關(guān)部門(小區(qū)管理中心或110 / 119 報(bào)警臺(tái))之間的數(shù)據(jù)交換,設(shè)置簡(jiǎn)潔,操作方便?!厩把浴勘菊n題要設(shè)計(jì)的智能住宅防盜防火報(bào)警系統(tǒng)是依托公共電話網(wǎng)做傳輸媒體的電話報(bào)警系統(tǒng),與傳統(tǒng)的區(qū)域報(bào)警系統(tǒng)相比,它具有傳輸距離遠(yuǎn)、硬件簡(jiǎn)單、安裝方便的優(yōu)點(diǎn),而對(duì)于實(shí)行物業(yè)管理的居民區(qū)以及機(jī)關(guān)、事業(yè)單位的辦公區(qū)則采用分戶對(duì)值班室的聯(lián)網(wǎng)方案。本文所介紹的安全報(bào)警系統(tǒng),是用雙絞線連接中央控制器和各用戶端自動(dòng)報(bào)

5、警器,實(shí)現(xiàn)前端報(bào)警器的報(bào)警數(shù)據(jù)采集;利用電話線,采用單片控制的dtmf 通信方式實(shí)現(xiàn)自動(dòng)撥號(hào)報(bào)警,既可提高信息傳遞速度,又可解決前端報(bào)警器之間同時(shí)報(bào)警爭(zhēng)信道問題。【方案的比較與評(píng)價(jià)】該智能報(bào)警系統(tǒng)主要包含用戶端電子防盜防火系統(tǒng)及監(jiān)控管理控制中心兩大部分。用戶端的電子防盜防火系統(tǒng)又包括探測(cè)器、自動(dòng)報(bào)警器及輸出等組成部分,并將模塊化思想引入此系統(tǒng),從而使整個(gè)系統(tǒng)的功能更完善、靈活、可調(diào)。課題重點(diǎn)設(shè)計(jì)了前端探測(cè)器部分和自動(dòng)撥號(hào)報(bào)警部分,最終完成了防盜防火的自動(dòng)撥號(hào)報(bào)警功能。本課題內(nèi)容屬于硬件電路的設(shè)計(jì)與應(yīng)用方面,實(shí)現(xiàn)過程包括電路原理設(shè)計(jì)、元器件(芯片)選擇與特性測(cè)試、分立元件面包板模擬、編制程序及軟

6、件調(diào)試、整體電路編程器調(diào)試、設(shè)計(jì)外觀及制版、產(chǎn)品抗干擾。在滿足各項(xiàng)性能指標(biāo)的前提下,不僅要考慮到系統(tǒng)的易用性,還要努力降低成本,使其經(jīng)濟(jì)實(shí)用,在保證靈敏度的情況下,盡量降低誤報(bào)率,確保在同類產(chǎn)品中的競(jìng)爭(zhēng)地位?!绢A(yù)期的效果及指標(biāo)】通過這次畢業(yè)設(shè)計(jì),加強(qiáng)了我動(dòng)手、思考和解決問題的能力。我覺得做畢業(yè)設(shè)計(jì)同時(shí)也是對(duì)課本知識(shí)的鞏固和加強(qiáng),平時(shí)看課本時(shí),有時(shí)問題老是弄不懂,做完設(shè)計(jì),那些問題就迎刃而解了。而且還可以記住很多東西。,平時(shí)看課本,這次看了,下次就忘了,主要是因?yàn)闆]有動(dòng)手實(shí)踐過吧!認(rèn)識(shí)來源于實(shí)踐,實(shí)踐是認(rèn)識(shí)的動(dòng)力和最終目的,實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。作為畢業(yè)的學(xué)生,確實(shí)從中學(xué)到了很多的知識(shí)【進(jìn)度

7、安排】2009 年12月 - 2010 年3月 選題、調(diào)研、收集資料2010 年 3 月 12日 - 2010 年 3 月14日 論證、開題2010 年 3 月 15日 - 2010 年 4 月25 日 設(shè)計(jì)(寫作初稿)2010 年 4 月 26日 - 2010 年 4 月28 日 修改、定稿、打印【參考文獻(xiàn)】1 馮慶祥,畢平智能化小區(qū)和智能住宅低溫建筑技術(shù),2002:12-132 智能建筑技術(shù)與應(yīng)用第一版北京:中國建筑工業(yè)出版社,2001:182-227 3 溫強(qiáng),賴志昌,王麗慧雙音多頻發(fā)送接收器mt8888 及其應(yīng)用, 2000:71-73 4 張金泉一種新型民用防火防盜報(bào)警器的研制燕山大

8、學(xué)學(xué)報(bào),2000:47-48【指導(dǎo)教師意見】(有針對(duì)性地說明選題意義及工作安排是否恰當(dāng)?shù)龋┩馓峤婚_題論證 修改后提交 不同意提交(請(qǐng)說明理由)指導(dǎo)教師簽章: 年 月 日 【系部意見】同意指導(dǎo)教師意見 不同意指導(dǎo)教師意見(請(qǐng)說明理由) 其它(請(qǐng)說明)隊(duì)系(部)主任簽章: 年 月 日第 三 部 分畢業(yè)設(shè)計(jì)正文1.1設(shè)計(jì)任務(wù)及功能要求說明 由單片機(jī)接收小鍵盤控制遞增計(jì)時(shí),由led 顯示模塊計(jì)時(shí)時(shí)間,顯示格式為 xx(分):xx(秒).xx,精確到0.01s的整數(shù)倍。繪制系統(tǒng)硬件接線圖,并進(jìn)行系統(tǒng)仿真和實(shí)驗(yàn)。畫出程序流程圖并編寫程序?qū)崿F(xiàn)系統(tǒng)功能。 使用單片機(jī)at89s52作為主要控制芯片,以四位一體

9、共陽極數(shù)碼顯示管通過三極管驅(qū)動(dòng)作為顯示部分,設(shè)計(jì)一個(gè)具有特定功能的數(shù)字式秒表。該數(shù)字式秒表上電或按鍵復(fù)位后能自動(dòng)顯示系統(tǒng)提示符“p.”,進(jìn)入準(zhǔn)備工作狀態(tài)。該數(shù)字式秒表通過按鍵控制可實(shí)現(xiàn)開始計(jì)時(shí)、暫停計(jì)時(shí)、連續(xù)計(jì)時(shí)、清零和停止功能。1.2數(shù)字式秒表的方案介紹及工作原理說明 使用at89s52單片機(jī)作為核心控制部件,采用12m晶體振蕩器及微小電容構(gòu)成振蕩電路;采用s8550作為數(shù)碼管的驅(qū)動(dòng)部分;用兩個(gè)四位一體共陽極或共陰極數(shù)碼顯示管作為顯示部分,構(gòu)成數(shù)字式秒表的主體結(jié)構(gòu),配合獨(dú)立式鍵盤和復(fù)位電路完成此秒表的復(fù)位、計(jì)時(shí)、連續(xù)、清零、停止各項(xiàng)功能。對(duì)于時(shí)鐘,它有兩方面的含義:一是指為保障系統(tǒng)正常工作的

10、基準(zhǔn)振蕩定時(shí)信號(hào),主要由晶振和外圍電路組成,晶振頻率的大小決定了單片機(jī)系統(tǒng)工作的快慢;二是指系統(tǒng)的標(biāo)準(zhǔn)定時(shí)時(shí)鐘,即定時(shí)時(shí)間,它通常有兩種實(shí)現(xiàn)方法:一是用軟件實(shí)現(xiàn),即用單片機(jī)內(nèi)部的可編程定時(shí)/計(jì)數(shù)器來實(shí)現(xiàn),但誤差很大,主要用在對(duì)時(shí)間精度要求不高的場(chǎng)合;二是用專門的時(shí)鐘芯片實(shí)現(xiàn),在對(duì)時(shí)間精度要求很高的情況下,通常采用這種方法。 led數(shù)碼顯示器有如下兩種連接方法:共陽極接法:把發(fā)光二極管的陽極連在一起構(gòu)成公共陽極,使用時(shí)公共陽極接+5v,每個(gè)發(fā)光二極管的陰極通過電阻與輸入端相連。共陰極接法:把發(fā)光二極管的陰極連在一起構(gòu)成公共陰極,使用時(shí)公共陰極接地。每個(gè)發(fā)光二極管的陽極通過電阻與輸入端相連。 鍵盤

11、部分方案:鍵盤控制采用獨(dú)立式按鍵,每個(gè)按鍵的一端均接地,另一端直接和p1口相連,在按鍵和p1口之間通過10k電阻與+5v電源相連。鍵盤通過檢測(cè)輸入線的電平狀態(tài)就可以很容易地判斷哪個(gè)鍵被按下了,這種方法操作速度高而且軟件結(jié)構(gòu)很簡(jiǎn)單,比較適合按鍵較少或操作速度較高的場(chǎng)合,這種獨(dú)立式接口的應(yīng)用很普遍。 顯示部分方案:顯示部分采用動(dòng)態(tài)顯示。數(shù)碼管動(dòng)態(tài)顯示接口是單片機(jī)中應(yīng)用最為廣泛的一種顯示方式之一,動(dòng)態(tài)驅(qū)動(dòng)是將所有數(shù)碼管的8個(gè)顯示筆劃a,b,c,d,e,f,g,dp的同名端連在一起,另外為每個(gè)數(shù)碼管的公共極com增加位選通控制電路,位選通由各自獨(dú)立的i/o線控制,當(dāng)單片機(jī)輸出字形碼時(shí),所有數(shù)碼管都接收

12、到相同的字形碼,但究竟是那個(gè)數(shù)碼管會(huì)顯示出字形,取決于單片機(jī)對(duì)位選通com端電路的控制,所以我們只要將需要顯示的數(shù)碼管的選通控制打開,該位就顯示出字形,沒有選通的數(shù)碼管就不會(huì)亮。通過分時(shí)輪流控制各個(gè)數(shù)碼管的的com端,就使各個(gè)數(shù)碼管輪流受控顯示,這就是動(dòng)態(tài)驅(qū)動(dòng)。動(dòng)態(tài)顯示是利用人眼視覺暫留特性來實(shí)現(xiàn)顯示的。事實(shí)上,顯示器上任何時(shí)刻只有一個(gè)數(shù)碼管有顯示。由于各數(shù)碼管輪流顯示的時(shí)間間隔短、節(jié)奏快,人的眼睛反應(yīng)不過來,因此看到的是連續(xù)顯示的現(xiàn)象。為防止閃爍延時(shí)的時(shí)間在1ms左右,不能太長(zhǎng),也不能太短。本設(shè)計(jì)可采用p0口直接驅(qū)動(dòng)八段數(shù)碼管顯示。此方案成本低,而且單片機(jī)的i/o口占用較少,可以節(jié)約單片機(jī)接

13、口資源,而且功耗更低。 此電路采用單片機(jī)的p0口作為數(shù)碼顯示管的段控,采用p2口作為數(shù)碼管的位控。8個(gè)獨(dú)立式鍵盤分別接在單片機(jī)的p1口上,以及其他部分構(gòu)成數(shù)字式秒表的硬件電路。通過編寫程序使用單片機(jī)的定時(shí)計(jì)數(shù)器,以及軟件延時(shí),中斷資源來實(shí)現(xiàn)秒計(jì)時(shí)和相關(guān)控制。此數(shù)字式秒表的硬件整體結(jié)構(gòu)如圖1-1所示。at89s52電源振蕩電路復(fù)位電路獨(dú)立式鍵盤驅(qū)動(dòng)電路數(shù)碼管顯示限流圖 1-1數(shù)字式秒表的硬件結(jié)構(gòu)圖第2章 數(shù)字式秒表硬件系統(tǒng)的設(shè)計(jì)2.1數(shù)字式秒表硬件系統(tǒng)各模塊功能簡(jiǎn)要介紹2.1.1 at89s52簡(jiǎn)介(1) 與mcs-51產(chǎn)品相兼容;(2) 具有8kb可改寫的flash 內(nèi)部程序存儲(chǔ)器,可寫/擦1

14、000次;(5) 256字節(jié)內(nèi)部ram;(6) 32根可編程i/o口;(7)3個(gè)16位定時(shí)器/計(jì)數(shù)器。 (8) 8個(gè)中斷源;(9)可編程中串行口;(10) 低功耗空閑和掉電方式。它的價(jià)格便宜,功能強(qiáng)大,能耗低。很大程度上減少總電路的復(fù)雜性,提高了所設(shè)計(jì)系統(tǒng)的穩(wěn)定性。其芯片引腳圖如圖2-1所示。圖2-1 單片機(jī)at89s52引腳圖2.1.2時(shí)鐘電路 時(shí)鐘電路用于產(chǎn)生單片機(jī)工作所需要的時(shí)鐘信號(hào),單片機(jī)本身就是一個(gè)復(fù)雜的同步時(shí)序電路,為了保證同步工作方式的實(shí)現(xiàn),電路應(yīng)在唯一的時(shí)鐘信號(hào)控制下嚴(yán)格地按時(shí)序進(jìn)行工作。在at89s52芯片內(nèi)部有一個(gè)高增益反相放大器,其輸入端為芯片引腳xtal1,輸出端為引腳

15、txal2,在芯片的外部通過這兩個(gè)引角跨接晶體振蕩器和微調(diào)電容,形成反饋電路,就構(gòu)成了一個(gè)穩(wěn)定的自激振蕩器。 此電路采用12mhz的石英晶體。時(shí)鐘電路如圖2-2:圖2-2 時(shí)鐘電路2.1.3鍵盤電路 本設(shè)計(jì)使用獨(dú)立式鍵盤接在單片機(jī)的p1口上但通過軟件賦予其中三個(gè)按鍵功能,其中s2是計(jì)時(shí)開始按鍵,第二功能為停止,s3為計(jì)時(shí)暫停按鍵,第二功能為繼續(xù)計(jì)時(shí)按鍵,s4是清零按鍵。注意使用時(shí)只有在暫停狀態(tài)下才能繼續(xù)計(jì)時(shí),只有在停止?fàn)顟B(tài)下才能清零,在停止時(shí)不能繼續(xù)計(jì)時(shí),在暫停時(shí)不能清零。鍵盤電路如圖2-3:圖2-3獨(dú)立式鍵盤電路圖2.1.4復(fù)位電路 復(fù)位是單片機(jī)的初始化操作,其主要功能是把pc初始化為000

16、0h,使單片機(jī)從0000h單元開始執(zhí)行程序。除了進(jìn)入系統(tǒng)的正常初始化之外,當(dāng)由于程序運(yùn)行出錯(cuò)或操作錯(cuò)誤是系統(tǒng)處于死鎖狀態(tài)時(shí),為擺脫困境,也需要按復(fù)位鍵以重新啟動(dòng)。 rst引腳是單片機(jī)復(fù)位信號(hào)的輸入端,復(fù)位信號(hào)是高電平有效,其有效時(shí)間應(yīng)持續(xù)24個(gè)振蕩周期(即2個(gè)機(jī)器周期)以上,若使用頻率為6mhz的晶振,則復(fù)位信號(hào)持續(xù)時(shí)間應(yīng)超過4us才能完成復(fù)位操作。復(fù)位操作有上電自動(dòng)復(fù)位和按鍵手動(dòng)復(fù)位兩種方式。上電自動(dòng)復(fù)位是通過外部復(fù)位電路的電容充電來實(shí)現(xiàn)的。按鍵電平復(fù)位是通過使復(fù)位端經(jīng)電阻與vcc電源接通而實(shí)現(xiàn)的。在本設(shè)計(jì)中采用了按鍵電平復(fù)位方式,其復(fù)位電路如圖2-4所示:圖2-4 復(fù)位電路2.1.5 驅(qū)動(dòng)

17、及顯示電路 數(shù)碼管實(shí)際上是由二極管構(gòu)成發(fā)光二級(jí)管正常工作時(shí),其兩端正向壓降約為1.6v,正向電流約為10ma,為了使數(shù)碼管達(dá)到一定的亮度而又不至于由于電流過大而損壞,我們使用三極管s8550作為數(shù)碼管的驅(qū)動(dòng),同時(shí)在p0口和p2口上串上470歐姆的電阻。此處使用四位一體共陰極數(shù)碼管,由于驅(qū)動(dòng)電路決定了此處共陰極數(shù)碼管和共陽極數(shù)碼管均可以采用而且均采用共陽極代碼來編寫顯示程序,具體電路如圖2-5所示。 圖2-5 數(shù)碼管驅(qū)動(dòng)及顯示電路圖2.1.6 單片機(jī)下載口電路 下載口主要是一個(gè)十芯的座子,可以通過使用usb下載線對(duì)單片機(jī)進(jìn)行程序下載。方便整個(gè)軟件的設(shè)計(jì),也能讓我們使用起來更加方便。圖2-6 單片

18、機(jī)下載口電路圖2.2 數(shù)字式秒表的硬件系統(tǒng)設(shè)計(jì)圖2.2.1 電路原理圖 此處電路原理圖以及pcb原理圖的繪制均使用protel99軟件完成,protel99是基于win95/win nt/win98/win2000的純32位電路設(shè)計(jì)制版系統(tǒng)。protel99提供了一個(gè)集成的設(shè)計(jì)環(huán)境,包括了原理圖設(shè)計(jì)和pcb布線工具,集成的設(shè)計(jì)文檔管理,支持通過網(wǎng)絡(luò)進(jìn)行工作組協(xié)同設(shè)計(jì)功能。根據(jù)硬件接線要求設(shè)計(jì)繪制電路原理圖及pcb。具體電路圖見附錄a與附錄b。2.2.2 pcb圖 pcb圖設(shè)計(jì)時(shí),首先要使元器件盡量少,這樣既可以節(jié)約材料,又可以是布線更加短,減少干擾,同時(shí)還應(yīng)注意盡量減少線路之間的寄生電容和電感

19、,布線時(shí)需要將線寬設(shè)置得比較寬這樣可以提高腐蝕電路板時(shí)的成功率,焊盤大小也要設(shè)置的比較大,這樣在腐蝕環(huán)節(jié)和焊接環(huán)節(jié)比較容易成功。不易出現(xiàn)短線的現(xiàn)象和焊盤剝離的現(xiàn)象。雙面布線時(shí)芯片和針腳多的元件需將焊接點(diǎn)置于底層,這樣才能比較方便的焊接。 制作電路板pcb圖見附錄b。 第3章 數(shù)字式秒表軟件系統(tǒng)的設(shè)計(jì)3.1 數(shù)字式秒表使用單片機(jī)資源情況 本次電子鐘設(shè)計(jì)除了了使用單片機(jī)工作所必須的硬件資源(如連接晶振的引腳xtal1和xtal2,復(fù)位引腳reset)外,對(duì)單片機(jī)的硬件資源還做了具體的安排。(1).p0口:p0.0-p0.7作為數(shù)碼管顯示器的段控。(2).p1口:p1.0-p1.3作為獨(dú)立式鍵盤的輸

20、入端。(3).p2口:p2.0-p2.7分別控制數(shù)碼管led0-led7的位控碼驅(qū)動(dòng)。(4).定時(shí)/計(jì)數(shù)器:使用定時(shí)器0工作方式2實(shí)現(xiàn)數(shù)字式計(jì)數(shù)器的運(yùn)行。(5).專用寄存器:定時(shí)器控制寄存器tcon,通過設(shè)置該寄存器tr0位的狀態(tài)來控制定時(shí)/計(jì)數(shù)器0的啟動(dòng)/停止;中斷允許寄存器ie,通過設(shè)置該寄存器ea/et0位的狀態(tài)來設(shè)置定時(shí)/計(jì)數(shù)器0中斷允許/禁止;定時(shí)/計(jì)數(shù)器工作方式寄存器tmod,設(shè)置定時(shí)/計(jì)數(shù)器0的工作方式。3.2 主程序流程圖 main定義堆棧顯示緩沖單元清零定時(shí)器0工作方式1裝載計(jì)數(shù)初值定時(shí)開始開中斷設(shè)置循環(huán)次數(shù)調(diào)用顯示子程序等待定時(shí)中斷請(qǐng)求鍵盤掃描 圖3-1 主程序流程圖3.3

21、中斷服務(wù)程序流程圖pdjw現(xiàn)場(chǎng)保護(hù)計(jì)數(shù)器重加載循環(huán)次數(shù)減1是否滿8次毫秒值加1是否滿60毫秒緩沖清零秒值加1是否60s秒顯緩沖清零分顯示加1是否滿60分顯示清零現(xiàn)場(chǎng)恢復(fù)返回ynnnnyyy 圖3-2 中斷服務(wù)程序流程圖3.4顯示程序流程圖disp現(xiàn)場(chǎng)保護(hù)寄存器初始化顯示代碼查表送段控代碼送位控代碼延時(shí)送段控代碼位控代碼左移左移8次?恢復(fù)現(xiàn)場(chǎng)返回ny 圖3-3顯示程序流程圖3.5軟件系統(tǒng)程序清單 按照流程圖應(yīng)用軟件keil匯編語言編程實(shí)現(xiàn)秒表功能。程序見附錄c。 第4章 設(shè)計(jì)總結(jié)4.1 數(shù)字式秒表的設(shè)計(jì)結(jié)論及使用說明 通過設(shè)計(jì)和調(diào)試,數(shù)字式秒表能順利完成各項(xiàng)功能。上電或復(fù)位后顯示“p.”提示符,

22、此時(shí)按1鍵便可開始計(jì)時(shí)。在計(jì)數(shù)狀態(tài)下,按下2鍵即可實(shí)現(xiàn)暫停,再次按下2鍵即可實(shí)現(xiàn)繼續(xù)計(jì)數(shù),在計(jì)數(shù)狀態(tài)下按下1鍵,實(shí)現(xiàn)計(jì)數(shù)停止,在停止?fàn)顟B(tài)下按下3鍵,便可實(shí)現(xiàn)計(jì)數(shù)清零。計(jì)數(shù)狀態(tài)下按下清零鍵,無效。4.2 調(diào)試軟件介紹 本電子鐘的設(shè)計(jì)用的pretues仿真軟件設(shè)計(jì)電路并仿真。proteus isis是英國labcenter公司開發(fā)的電路分析與實(shí)物仿真軟件。它運(yùn)行于windows操作系統(tǒng)上,可以仿真、分析(spice)各種模擬器件和集成電路,該軟件的特點(diǎn)是:實(shí)現(xiàn)了單片機(jī)仿真和spice電路仿真相結(jié)合。具有模擬電路仿真、數(shù)字電路仿真、單片機(jī)及其外圍電路組成的系統(tǒng)的仿真、rs232動(dòng)態(tài)仿真、i2c調(diào)試器

23、、spi調(diào)試器、鍵盤和lcd系統(tǒng)仿真的功能;有各種虛擬儀器,如示波器、邏輯分析儀、信號(hào)發(fā)生器等。支持主流單片機(jī)系統(tǒng)的仿真。目前支持的單片機(jī)類型有:68000系列、8051系列、avr系列、pic12系列、pic16系列、pic18系列、z80系列、hc11系列以及各種外圍芯片。提供軟件調(diào)試功能。在硬件仿真系統(tǒng)中具有全速、單步、設(shè)置斷點(diǎn)等調(diào)試功能,同時(shí)可以觀察各個(gè)變量、寄存器等的當(dāng)前狀態(tài),因此在該軟件仿真系統(tǒng)中,也必須具有這些功能;同時(shí)支持第三方的軟件編譯和調(diào)試環(huán)境,如keil c51 uvision2等軟件。具有強(qiáng)大的原理圖繪制功能??傊撥浖且豢罴瘑纹瑱C(jī)和spice分析于一身的仿真軟件,

24、功能極其強(qiáng)大。因?yàn)閜retues仿真軟件中所有的情況都是在理想想的條件下,但是與實(shí)際電路的工作還是有很大的差別,所以我們必需考慮到實(shí)際情況應(yīng)接入驅(qū)動(dòng)限流等電路它才能被實(shí)際所應(yīng)用。4.3 程序仿真與結(jié)果 我們是在keil軟件里編寫程序并編譯通過才能被硬件電路所應(yīng)用。keil的功能比較強(qiáng)大但還是有一定的缺點(diǎn)。他編譯過程中他只能檢查出所編寫的語法錯(cuò)誤,所以我們一步一步的去在硬件電路里仿真去達(dá)到我們所設(shè)計(jì)要求的功能。經(jīng)仿真修改和完善均已達(dá)到設(shè)計(jì)要求.“p.”顯示,電子鐘準(zhǔn)備狀態(tài)與電子鐘自動(dòng)運(yùn)行狀態(tài)的仿真如下圖所示。(1). “p.”顯示如圖4-1所示。圖4-1 “p”顯示圖(2). 數(shù)字式秒表準(zhǔn)備狀態(tài)

25、仿真的顯示如圖4-2所示。 圖4-2 準(zhǔn)備計(jì)時(shí)狀態(tài)(3). 數(shù)字式秒表運(yùn)行狀態(tài)的仿真如圖4-3所示。 圖4-3 計(jì)時(shí)器狀態(tài)4.4 誤差分析及解決方法我們可以發(fā)現(xiàn)數(shù)字式秒表計(jì)數(shù)一段時(shí)間的我們的標(biāo)準(zhǔn)時(shí)間相比較出現(xiàn)了誤差,所設(shè)計(jì)的數(shù)字式秒表比我們的標(biāo)準(zhǔn)時(shí)間要慢,而且相比較的時(shí)間越長(zhǎng)他的時(shí)差越大。經(jīng)過分其主要原因與硬件和軟件都有關(guān)。軟件原因:我們從外部中斷請(qǐng)求有效到轉(zhuǎn)向中斷區(qū)入口地址所需的機(jī)器周期數(shù)來計(jì)算中斷時(shí)間,51系列單片機(jī)最短響應(yīng)時(shí)間為3個(gè)機(jī)器周期。在一般情況下中斷響應(yīng)時(shí)間通常無需考慮,但在精確定時(shí)的應(yīng)用場(chǎng)合需知道中斷響應(yīng)時(shí)間,以保證定時(shí)的精確控制。硬件原因:?jiǎn)纹瑱C(jī)的時(shí)鐘信號(hào)是由外部的振蕩電路所

26、提供,在芯片的外部通過接xatl1與xatl2這兩個(gè)引角跨接晶體振蕩器和微調(diào)電容,形成反饋電路,就構(gòu)成了一個(gè)穩(wěn)定的自激振蕩器。因?yàn)殡娮釉豢梢跃陀形覀兯O(shè)計(jì)的那么理想(電容的容量,振晶的輸出頻率)所以會(huì)造成我們的時(shí)間準(zhǔn)確。 針對(duì)這樣的問題我們就能只能從上述兩個(gè)方面入手去解決。軟件方面我們可以通過計(jì)算設(shè)計(jì)子程序去減少響應(yīng)的時(shí)差。硬件部分我們可以采用一些穩(wěn)定,精確度比較高的電子元件去完善,但是在最后調(diào)試出的還是有誤差但我盡可能的減少差誤差接近理想。4.5 設(shè)計(jì)心得 通過對(duì)數(shù)字式秒表的設(shè)計(jì)與制作,我們把理論與實(shí)際相結(jié)合。加深了對(duì)理論知識(shí)的理解,也增強(qiáng)了我們的動(dòng)手能力。 在電路設(shè)計(jì)過程中,我們學(xué)會(huì)了

27、自己收集信息和處理信息的能力,為以后的學(xué)習(xí)和制作奠定了一定的基礎(chǔ),數(shù)字式秒表看似簡(jiǎn)單,但當(dāng)我們自己著手設(shè)計(jì)與制作的時(shí)候我們才發(fā)現(xiàn)是困難重重的。 在元器件采購過程中發(fā)現(xiàn)的問題更大,在電路計(jì)算式我們根本沒有考慮到元器件的型號(hào)和性能參數(shù),然而在實(shí)物購買時(shí)我們就不得不開始考慮其型號(hào)和性能參數(shù),這也是理論與實(shí)際的差別。還有,一些阻值的電阻與某些大小的電容根本就沒有這種型號(hào)的買,這樣我們不得不考慮改變?cè)O(shè)計(jì)電路中的參數(shù),或者采用電路的等效方式來解決這樣的問題。 在制作pcb時(shí),發(fā)現(xiàn)一定要有細(xì)心、耐心和恒心才能做好事情,首先是線的布局上既要美觀又要實(shí)用和走線簡(jiǎn)單,兼顧到方方面面去考慮是很需要的。比如在做pcb

28、板時(shí),因?yàn)槿狈?jīng)驗(yàn)把板上的線畫得太細(xì)了,焊盤太細(xì)導(dǎo)致后面的腐蝕環(huán)節(jié)稍微有點(diǎn)失誤將使電路板出現(xiàn)斷線,打孔后無焊盤等問題,把pcb板浸在三氯化鐵里浸得太久可能導(dǎo)致pcb板上的銅幾乎全都溶解了。雙面布線時(shí)必須做到兩面完全對(duì)齊,否則板子有可能無法使用,還有在有芯片的電路布線時(shí)不能將從芯片引腳引出的線布在兩面,否則將無法完成焊接任務(wù)。 從剛剛接觸單片機(jī)開始,此設(shè)計(jì)是我迄今為止,編寫的最大的一個(gè)程序,在調(diào)試過程中,我學(xué)會(huì)了怎樣去根據(jù)實(shí)驗(yàn)現(xiàn)象解決問題,分析問題的所在點(diǎn)。它不僅加強(qiáng)了我們解決問題的能力,同時(shí)也鍛煉了我們的邏輯思維能力。 此次課程設(shè)計(jì),學(xué)到了很多課內(nèi)學(xué)不到的東西,比如獨(dú)立思考解決問題,出現(xiàn)差錯(cuò)的

29、隨機(jī)應(yīng)變,使我們?cè)趯?shí)際動(dòng)手能力方面得到了較大的提高。4.6 教學(xué)建議 希望學(xué)校能夠注重動(dòng)手能力的培養(yǎng),與生活實(shí)際相結(jié)合,把所學(xué)內(nèi)容應(yīng)用到生活中去,這學(xué)期我們通過結(jié)合實(shí)例講解程序,使我們更深刻的了解單片機(jī)的理論知識(shí),最重要的是通過實(shí)驗(yàn)課我們可以邊學(xué)邊實(shí)踐,從實(shí)踐中記住各種指令的用法和各種編程技巧,課本理論知識(shí)相對(duì)抽象而且散,如果僅僅靠看書根本無法熟練掌握單片機(jī)的軟硬件資源的運(yùn)用,而實(shí)驗(yàn)恰恰彌補(bǔ)了這個(gè)缺點(diǎn),感覺通過實(shí)驗(yàn)學(xué)習(xí)更有效率,更生動(dòng)有趣。故希望學(xué)校多多開設(shè)實(shí)驗(yàn)課程。參考文獻(xiàn)1李朝青.單片機(jī)原理及接口技術(shù)m.北京:北京航空航天大學(xué)出版社,1988.1li zhaoqing. scm theor

30、y and interface technology m. beijing: beijing aerospace university press, 1988.2李勛等.單片機(jī)實(shí)用教程m.北京:北京航空航天大學(xué)出版社,20002 li xun and so on. microcontroller practical guide m. beijing: beijing aerospace university press, 20003王幸之等.單片機(jī)應(yīng)用系統(tǒng)抗干擾技術(shù)m. 北京:北京航空航天大學(xué)出版社,19993 wangxing the other. microcomputer applica

31、tion system interference technology m. beijing: beijing aerospace university press, 19994何為民.低功耗單片微型計(jì)算機(jī)系統(tǒng)設(shè)計(jì)m. 北京:北京航空航天大學(xué)出版社,19944 what the people. low-power single-chip micro-computer system design m. beijing: beijing aerospace university press, 19945李杏春等.8090單片機(jī)原理及實(shí)用接口技術(shù)m. 北京:北京航空航天大學(xué)出版社,19965 li

32、xingchun such .8090 scm theory and practical interface technology m. beijing: beijing aerospace university press, 1996致 謝剛開始接到課程設(shè)計(jì)任務(wù),認(rèn)為挺簡(jiǎn)單的,然而真正開始動(dòng)手制作時(shí)才知道并不是那么簡(jiǎn)單,從初期的資料收集以及原理圖的繪制都受到了老師以及同學(xué)的幫助,在遇到困難時(shí)請(qǐng)教老師和同學(xué)都能得到耐心的解答,幫助我們少走彎路。感謝我的老師,以及我的同學(xué),在整個(gè)硬件電路的設(shè)計(jì)與制作過程中,他們都給了我很大的支持,是我從此次課程設(shè)計(jì)過程中獲益匪淺,本人對(duì)設(shè)計(jì)過程中給予了通力合作,

33、以及精神的支持,的老師和同學(xué)深表感謝。如果沒有他們我想我的設(shè)計(jì)不會(huì)這樣順利的完成。由于本人水平有限,在技術(shù)指標(biāo)和論文寫作中可能存在一些缺陷,懇請(qǐng)各位老師和同學(xué)們批評(píng)指教。 附 錄 a 原理圖 附錄 b pcb圖 附錄 c 程序清單;*;項(xiàng)目名稱:秒表;設(shè)計(jì)者:蔣慧;設(shè)計(jì)日期:2011年01月;*;led數(shù)碼管顯示器設(shè)定;p0.7-p0.0段控線,接led的顯示段dp,g,f,e,d,c,b,a ;p2.7-p2.0位控線從左至右(led7,led6,led5,led4,led3,led2,led1,led0);顯示緩沖區(qū)設(shè)定從左至右依次為78h,79h,7ah,7bh,7ch,7dh,7eh,

34、7fh ;*;獨(dú)立式鍵盤設(shè)定與功能;8個(gè)按鍵s1至s8分別依次接在p1.0至p1.7口線;k0的功能為復(fù)位 ;k1的功能為啟動(dòng)/停止(標(biāo)識(shí)符為22h.0當(dāng)它為1時(shí)啟動(dòng),為0時(shí)停止);k2的功能為暫停/繼續(xù)(標(biāo)識(shí)符為22h.1當(dāng)它為1時(shí)暫停,為0時(shí)繼續(xù));k3的功能為清零;*;常數(shù)表格; ;disbh(系統(tǒng)提示符p.字型代碼序號(hào)表);tab(共陽數(shù)碼管字型代碼表) ;*; ;子程序;time_1ms(定時(shí)1ms子程序);key(鍵掃描子程序);keychuli(p1口數(shù)據(jù)處理子程序);disp(數(shù)碼管顯示子程序);dl(2毫秒延時(shí)子程序);add01(入口地址為r0);*;存儲(chǔ)器內(nèi)容的安排;堆棧

35、棧底7fhh; ;20h作為按鍵標(biāo)志(20h.020h.7分別對(duì)應(yīng)的是按鍵k1k8);專用寄存組1,用在顯示子程序中;*;系統(tǒng)起始程序區(qū) org 0000h start: ljmp main org 000bh ljmp pdjw;*;系統(tǒng)監(jiān)控程序區(qū) org 0030hmain: mov psw, #00h mov sp, #7fh ;確立堆棧區(qū) mov r0, #20h ;ram區(qū)首地址 mov r7, #96 ;ram區(qū)單元個(gè)數(shù) movtmod,#01h setb ea setbet0ml: mov r0, #00h inc r0 djnz r7, mltsf: mov dptr, #di

36、sbh ;系統(tǒng)初始化后提示符“p.”字符代碼表首地址 mov r5, #08h mov r0, #78hdisptsf:clr a movc a, a+dptr mov r0, a inc r0 inc dptr djnz r5, disptsfkey0:lcalldisp lcall keyjb 20h.0, k1jb 20h.1, k2jb 20h.2, k3ljmp key0key00: lcall key lcall dispjb 20h.2, k3ljmp key00 k1: jb 22h.1, key0;如果此時(shí)為暫停狀態(tài),本次按鍵k1無效cpl 22h.0 jb 22h.0, k

37、01 ;高電平則計(jì)時(shí) clr tr0 ;低電平則停止 lcall displjmp key00k01: mov 7ah, #12h ;從零開始計(jì)時(shí) mov 7dh, #12h mov 7fh, #10h mov 78h, #00h mov 79h, #00h mov 7bh, #00h mov 7ch, #00h mov 7eh, #00h mov 7fh, #00h lcall disp mov th0,#0d8h mov tl0,#0f0h setb tr0;啟動(dòng)定時(shí)器 ljmp key0k2: jb 22h.0, k21 ;判斷秒表是否啟動(dòng),如不是啟動(dòng)狀態(tài)則此次按鍵無效 ljmp key

38、0k21: cpl22h.1 jb 22h.1, k22 setb tr0 ;繼續(xù)計(jì)時(shí)ljmp key0k22: clr tr0 ;暫停秒表 lcall displjmp key0 k3:jb22h.0, key0 ;只有當(dāng)停止是,清零鍵才有效mov r0, #79h ;秒表清零 lcall clr00mov r0, #7ch lcall clr00mov r0, #7fh lcall clr00 ljmp key0pdjw: push psw push accmov tmod, #01h ;定時(shí)器以工作方式1工作setb rs1 ;設(shè)定組號(hào)為2組clr rs0movth0,#0d8hmovt

39、l0,#0f0hmov r0, #79hlcall add01cjne r2, #99, rett ;判斷1秒到?jīng)]mov r0, #7ch lcall add01cjne r2, #60, rett ;判斷60毫秒到?jīng)]lcall clr00mov r0, #7fhlcall add01cjne r2, #60h, rett ;判斷60分到?jīng)]lcall clr00rett: pop acc pop pswreti;*;常數(shù)表格區(qū);系統(tǒng)初始化后提示符“p.”字符代碼表;disbh: db 10h,10h,10h,10h,10h,10h,10h,11h ;提示符“p.”字符序號(hào);顯示字符段選碼表(共陽極代碼)tab: db 0c0h, 0f9h,0a4h,0b0h,99h,92h,82h,0f8h,80h ;0-8 db 90h, 88h,83h,0c6h,0a1h,86h,8eh,0ffh,0ch,0bfh ;9,a,b

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論