




版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、2008級(jí)學(xué)生eda課程設(shè)計(jì) eda課程設(shè)計(jì)報(bào)告書(shū)課題名稱跑馬燈的設(shè)計(jì)姓 名楊婷婷學(xué) 號(hào)0812202-33院 系物理與電信工程系專 業(yè)電子信息工程指導(dǎo)教師曾專武 講師2011年 6月10日一、設(shè)計(jì)任務(wù)及要求: 本課程設(shè)計(jì)主要是利用vhdl語(yǔ)言設(shè)計(jì)跑馬燈,要求實(shí)現(xiàn)以下功能要求: 1實(shí)現(xiàn)8個(gè)紅色led的亮、滅、交錯(cuò)顯示等,其顯示如下 (1)復(fù)位時(shí),8個(gè)燈全滅; (2)從左到右第一個(gè)開(kāi)始亮,依次亮到最后一個(gè); (3)從右到左第一個(gè)開(kāi)始亮,依次亮到最后一個(gè); (4)從中間亮到兩邊; (5)從兩邊亮到中間; 2隨著跑馬燈顯示圖案的變化,發(fā)出不同的音響聲。指導(dǎo)教師簽名: 年 月 日 二、指導(dǎo)教師評(píng)語(yǔ):指
2、導(dǎo)教師簽名: 年 月 日 三、成績(jī)驗(yàn)收蓋章 年 月 日 跑馬燈的設(shè)計(jì)1設(shè)計(jì)目的 系統(tǒng)設(shè)計(jì)采用自頂向下的設(shè)計(jì)方法,使用簡(jiǎn)單的eda程序?qū)崿F(xiàn)跑馬燈顯示效果設(shè)計(jì)。并且熟悉可編程邏輯器件的使用,通過(guò)制作來(lái)了解跑馬燈控制系統(tǒng)。2 設(shè)計(jì)的主要內(nèi)容和要求2.1實(shí)現(xiàn)8個(gè)紅色led的亮、滅、交錯(cuò)顯示等,其顯示如下 (1)復(fù)位時(shí),8個(gè)燈全滅;(2)從左到右第一個(gè)開(kāi)始亮,依次亮到最后一個(gè);(3)從右到左第一個(gè)開(kāi)始亮,依次亮到最后一個(gè);(4)從中間亮到兩邊;(5)從兩邊亮到中間; 2.2隨著彩燈顯示圖案的變化,發(fā)出不同的音響聲。3 整體設(shè)計(jì)方案 這次的跑馬燈設(shè)計(jì)采用的是分模塊來(lái)完成的,包括分頻器、計(jì)數(shù)器、選擇器、彩燈
3、控制器。其中彩燈控制器是用來(lái)輸出不同的花樣,彩燈控制器的輸出則是用一個(gè)32進(jìn)制的計(jì)數(shù)器來(lái)控制,揚(yáng)聲器的輸出時(shí)用不同的頻率來(lái)控制,所以用了一個(gè)集成分頻器來(lái)使輸入的頻率被分為幾種不同的頻率,不同頻率的選擇性的輸出則是用一個(gè)4選一的選擇器來(lái)控制。 圖3.1跑馬燈的輸出原理方框圖 圖3.2 揚(yáng)聲器輸出原理方框圖4硬件電路的設(shè)計(jì)與軟件設(shè)計(jì)4.1集成分頻器模塊1)設(shè)計(jì)要求顯示不同的跑馬燈的時(shí)候要伴隨不同的音樂(lè),所以設(shè)計(jì)分頻器來(lái)用不同的頻率控制不同的音樂(lè)輸出。模塊說(shuō)明:rst:輸入信號(hào) 復(fù)位信號(hào) 用來(lái)復(fù)位集成分頻器的輸出使輸出為“0”,及沒(méi)有音樂(lè)輸出。clk:輸入信號(hào) 模塊的功能即為分頻輸入的頻率信號(hào)。cl
4、k_4、clk_6、clk_8、clk_10:輸出信號(hào) 即為分頻模塊對(duì)輸入信號(hào)clk的分頻,分別為1/4分頻輸出、1/6分頻輸出、1/8分頻輸出、1/10分頻輸出。 圖4.1 集成分頻器2)分頻器vhdl程序編寫(xiě)及仿真結(jié)果library ieee;use ieee.std_logic_1164.all; - ieee庫(kù)使用聲明entity fenpinqi is - 實(shí)體端口聲明port(clk,rst: in std_logic; - 類型的輸入端口clk_10,clk_4,clk_6,clk_8: out std_logic); - 輸出口end fenpinqi;architecture
5、 cd of fenpinqi is -結(jié)構(gòu)體功能描述語(yǔ)句beginp1:process(clk,rst) -進(jìn)程語(yǔ)句 variable a:integer range 0 to 20; begin if rst=1 then clk_4=3 then a:=0; -當(dāng)a大于等于3時(shí),則a等于0 clk_4=1; else a:=a+1; -否則a等于a加1 clk_4=0; end if; end if; end if;end process p1;p2:process(clk,rst) variable b:integer range 0 to 20; begin if rst=1 the
6、n clk_6=5 then b:=0; clk_6=1; else b:=b+1; clk_6=0; end if; end if; end if;end process p2;p3:process(clk,rst) variable c:integer range 0 to 20;begin if rst=1 then clk_8=7 then c:=0; clk_8=1; else c:=c+1; clk_8=0; end if; end if; end if;end process p3; -關(guān)閉進(jìn)程p4:process(clk,rst) -開(kāi)啟另外一個(gè)進(jìn)程variable d:int
7、eger range 0 to 20; -定義d為變量 begin if rst=1 then clk_10=9 then d:=0; clk_10=1; else d:=d+1; clk_10=0; end if; end if; end if; end process p4; end cd;圖4.2 集成分頻器仿真波形4.2 32進(jìn)制計(jì)數(shù)器模塊1)32進(jìn)制模塊用來(lái)控制彩燈輸出模塊,即確定跑馬燈控制器的不同的輸出。rst:輸入信號(hào) 復(fù)位信號(hào) 用來(lái)復(fù)位32進(jìn)制使其輸出為“00000”。clk:輸入信號(hào) 用來(lái)給模塊提供工作頻率。count_out4.0:輸出信號(hào) 即為32進(jìn)制計(jì)數(shù)器的輸出。 圖4
8、.3 32進(jìn)制計(jì)數(shù)器2)32進(jìn)制計(jì)數(shù)器vhdl程序編寫(xiě)及其仿真結(jié)果library ieee;use ieee.std_logic_1164.all; - ieee庫(kù)使用聲明entity counter_32 is -實(shí)體端口聲明port(clk,rst: in std_logic; -聲明clk,clr是標(biāo)準(zhǔn)邏輯位類型的輸入端口count_out: out integer range 0 to 31);end counter_32;architecture a of counter_32 isbeginprocess (rst,clk) variable temp:integer range
9、0 to 32; -定義temp為變量beginif rst=1 then -條件選擇語(yǔ)句 temp:=0;elsif (clkevent and clk=1) then temp:=temp+1; if(temp=32) then temp:=0; end if; end if; count_out=temp;end process;end a; 圖4.4 32進(jìn)制計(jì)數(shù)器仿真波形 4.3彩燈控制模塊1)彩燈控制模塊用來(lái)直接控制跑馬燈的輸出,使彩燈表現(xiàn)出不同的花樣。rst:輸入信號(hào) 使彩燈控制模塊的輸出為“00000000”,即讓彩燈無(wú)輸出。input4.0:輸入信號(hào) 不同的輸入使彩燈控制模塊
10、有不同的輸出即彩燈顯示出不同的花樣。output7.0:輸出信號(hào) 直接與彩燈相連來(lái)控制彩 圖4.5 彩燈控制模塊 2) 彩燈控制模塊vhdl程序編寫(xiě)及仿真library ieee;use ieee.std_logic_1164.all; - ieee庫(kù)使用聲明entity caideng is - 實(shí)體端口聲明 port(input: ininteger range 0 to 31; rst:in std_logic; output: out std_logic_vector(7 downto 0); sm :out std_logic_vector(6 downto 0);end caide
11、ng;architecture a of caideng is -結(jié)構(gòu)體功能描述語(yǔ)句begin process (input,rst) begin if rst=1 then output=00000000;smoutput=10000000;smoutput=01000000;smoutput=00100000;smoutput=00010000;smoutput=00001000;smoutput=00000100;smoutput=00000010;smoutput=00000001;smoutput=00010000;smoutput=00110000;smoutput=0011100
12、0;smoutput=01111000;smoutput=01111100;smoutput=01111110;smoutput=11111110;smoutput=11111111;smoutput=10000001;smoutput=11000001;smoutput=11000011;smoutput=11100011;smoutput=11100111;smoutput=11110111;smoutput=11111111;smoutput=00001000;smoutput=00000001;smoutput=00000010;smoutput=00000100;smoutput=0
13、0001000;smoutput=00010000;smoutput=00100000;smoutput=01000000;smoutput=10000000;smnull;-當(dāng)sm為0100110時(shí),燈從右邊亮到左邊end case; end if; end process; end a;圖4 .6 .跑馬燈控制模塊仿真波形4.4 4選1選擇器模塊1) rst:輸入信號(hào) 復(fù)位信號(hào) 使選擇器的輸出為“0”。in1、in2、in3、in4:輸入信號(hào) 接分頻器的輸出。inp1.0:輸入信號(hào) 接4進(jìn)制計(jì)數(shù)器的輸出用來(lái)控制選擇器的選擇不同的輸入選擇不同的輸出。output:輸出信號(hào) 直接接揚(yáng)聲器即輸出
14、的是不同的頻率來(lái)控制揚(yáng)聲器播放音樂(lè)。 圖4.7 4選1選擇器2) 4選1選擇器模塊vhdl程序編寫(xiě)及仿真library ieee;use ieee.std_logic_1164.all; - ieee庫(kù)使用聲明entity mux41 is - 實(shí)體端口聲明port( rst:in std_logic; s:in std_logic_vector(1 downto 0);a,b,c,d: in std_logic;y: out std_logic);end mux41;architecture a of mux41 is -結(jié)構(gòu)體功能描述語(yǔ)句beginprocess (rst,s,a,b,c,
15、d)begin if(rst=1) then yyyyynull; end case; end if;end process;end a;圖4.8 4選1選擇器仿真波形4.5 4進(jìn)制計(jì)數(shù)器模塊1) 4進(jìn)制計(jì)數(shù)器作為選擇器的輸入來(lái)控制選擇器選擇不同的頻率作為輸出控制揚(yáng)聲器工作。clk:輸入信號(hào) 來(lái)為計(jì)數(shù)器提供工作頻率。rst:輸入信號(hào) 復(fù)位信號(hào) 使計(jì)數(shù)器的輸出為“00”。 圖4.9 4進(jìn)制計(jì)數(shù)器2) 4進(jìn)制計(jì)數(shù)器模塊vhdl程序編寫(xiě)及仿真library ieee;use ieee.std_logic_1164.all; - ieee庫(kù)使用聲明entity counter_4 is - 實(shí)體端口聲
16、明port(clk,rst: in std_logic; - 聲明clk,clr是標(biāo)準(zhǔn)邏輯位count_out: out integer range 0 to 3);end counter_4;architecture a of counter_4 isbeginprocess(rst,clk) variable temp:integer range 0 to 4;begin if rst=1then -如果rst為1,變量temp:為0,否則temp:=temp+1;temp:=0;elsif (clkevent and clk=1) then temp:=temp+1; if(temp=4
17、) then temp:=0; end if;end if; count_out=temp; -把temp賦值給count_outend process; end a圖4.10 4進(jìn)制計(jì)數(shù)器仿真波形5 系統(tǒng)結(jié)構(gòu)及仿真 整個(gè)系統(tǒng)就是各個(gè)分模塊組成來(lái)實(shí)現(xiàn)最后的彩燈控制功能,系統(tǒng)又兩個(gè)時(shí)鐘來(lái)控制一個(gè)是控制32進(jìn)制計(jì)數(shù)器即控制彩燈控制模塊來(lái)實(shí)現(xiàn)彩燈的不同輸出,另一個(gè)時(shí)鐘為分頻器的輸入來(lái)進(jìn)行分頻處理,最后用來(lái)控制揚(yáng)聲器發(fā)出不同的音樂(lè),具體分頻處理的時(shí)鐘的頻率比實(shí)現(xiàn)彩燈控制的時(shí)鐘頻率要高。 圖 5.1 系統(tǒng)功能模塊圖 5.2 系統(tǒng)功能仿真波形6.總結(jié)這次的eda課程設(shè)計(jì)有一周的時(shí)間,在這一周的時(shí)間里我們充
18、分合理的安排了自己的時(shí)間來(lái)使本次的課程設(shè)計(jì)能夠順利的完成,當(dāng)然我們?cè)诒敬蔚脑O(shè)計(jì)中并不是一帆風(fēng)順的,我們遇到了一些的問(wèn)題,例如我們開(kāi)始時(shí)用的文本的方式用一個(gè)總的程序來(lái)完成,可以在設(shè)計(jì)的過(guò)程中我們發(fā)現(xiàn)程序編到后面變量越到很容易搞混淆同時(shí)各個(gè)進(jìn)程間的聯(lián)系也越來(lái)越模糊以至于后面我們自己都不知道程序的整體框圖是什么,導(dǎo)致后面不能夠繼續(xù)下去,后面我們?cè)僖淮螌?duì)我們這次的設(shè)計(jì)題目進(jìn)行了分析和整理,最后我和我的同伴決定采用分模塊的方式來(lái)完成本次的課題設(shè)計(jì),當(dāng)然最重要的是分析各個(gè)模塊間的關(guān)系。最后我們采用上面分析的結(jié)構(gòu)框圖。最后我們的設(shè)計(jì)很成功,仿真和硬件測(cè)試都是正確的,實(shí)現(xiàn)了我們的設(shè)計(jì)要求和目的。在這次設(shè)計(jì)中我們收獲了很多,首先最直接的收獲就是我們鞏固了這門(mén)課程所學(xué)過(guò)的知識(shí),把它運(yùn)用到了實(shí)踐當(dāng)中,并
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年人行道養(yǎng)護(hù)車(chē)項(xiàng)目建議書(shū)
- 中職旅游教學(xué)課件
- 全球化背景下的教育趨勢(shì)比較分析
- 學(xué)生管理中的情感智能培養(yǎng)策略
- 游戲化學(xué)習(xí)在在線教育中的應(yīng)用與挑戰(zhàn)
- 中職教育語(yǔ)文課件《邊城》
- 教學(xué)策略優(yōu)化與學(xué)生心理健康的關(guān)聯(lián)性研究
- 基礎(chǔ)護(hù)士腫瘤科考試題庫(kù)及答案
- 2025年銅陵市重點(diǎn)中學(xué)高二物理第二學(xué)期期末聯(lián)考模擬試題含解析
- 商業(yè)領(lǐng)域的科技資源利用策略
- GB/T 10045-2018非合金鋼及細(xì)晶粒鋼藥芯焊絲
- GB 7099-2015食品安全國(guó)家標(biāo)準(zhǔn)糕點(diǎn)、面包
- 2023年納雍縣財(cái)政局系統(tǒng)事業(yè)單位招聘筆試題庫(kù)及答案解析
- 2023年廣東省普通高中學(xué)業(yè)水平考試及參考答案
- 建筑工程模板施工工藝技術(shù)要點(diǎn)講義豐富課件
- 永能選煤廠生產(chǎn)安全事故應(yīng)急救援預(yù)案
- 浙江省建設(shè)領(lǐng)域簡(jiǎn)易勞動(dòng)合同(A4版本)
- 位置度公差以及其計(jì)算
- 氯化銨危險(xiǎn)化學(xué)品安全周知卡
- 浙江省本級(jí)公務(wù)車(chē)輛租賃服務(wù)驗(yàn)收單(格式)
- 糖代謝紊亂的實(shí)驗(yàn)診斷
評(píng)論
0/150
提交評(píng)論