EDA課程設計報告書跑馬燈的設計_第1頁
EDA課程設計報告書跑馬燈的設計_第2頁
EDA課程設計報告書跑馬燈的設計_第3頁
EDA課程設計報告書跑馬燈的設計_第4頁
EDA課程設計報告書跑馬燈的設計_第5頁
已閱讀5頁,還剩12頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、2008級學生eda課程設計 eda課程設計報告書課題名稱跑馬燈的設計姓 名楊婷婷學 號0812202-33院 系物理與電信工程系專 業(yè)電子信息工程指導教師曾專武 講師2011年 6月10日一、設計任務及要求: 本課程設計主要是利用vhdl語言設計跑馬燈,要求實現(xiàn)以下功能要求: 1實現(xiàn)8個紅色led的亮、滅、交錯顯示等,其顯示如下 (1)復位時,8個燈全滅; (2)從左到右第一個開始亮,依次亮到最后一個; (3)從右到左第一個開始亮,依次亮到最后一個; (4)從中間亮到兩邊; (5)從兩邊亮到中間; 2隨著跑馬燈顯示圖案的變化,發(fā)出不同的音響聲。指導教師簽名: 年 月 日 二、指導教師評語:指

2、導教師簽名: 年 月 日 三、成績驗收蓋章 年 月 日 跑馬燈的設計1設計目的 系統(tǒng)設計采用自頂向下的設計方法,使用簡單的eda程序實現(xiàn)跑馬燈顯示效果設計。并且熟悉可編程邏輯器件的使用,通過制作來了解跑馬燈控制系統(tǒng)。2 設計的主要內(nèi)容和要求2.1實現(xiàn)8個紅色led的亮、滅、交錯顯示等,其顯示如下 (1)復位時,8個燈全滅;(2)從左到右第一個開始亮,依次亮到最后一個;(3)從右到左第一個開始亮,依次亮到最后一個;(4)從中間亮到兩邊;(5)從兩邊亮到中間; 2.2隨著彩燈顯示圖案的變化,發(fā)出不同的音響聲。3 整體設計方案 這次的跑馬燈設計采用的是分模塊來完成的,包括分頻器、計數(shù)器、選擇器、彩燈

3、控制器。其中彩燈控制器是用來輸出不同的花樣,彩燈控制器的輸出則是用一個32進制的計數(shù)器來控制,揚聲器的輸出時用不同的頻率來控制,所以用了一個集成分頻器來使輸入的頻率被分為幾種不同的頻率,不同頻率的選擇性的輸出則是用一個4選一的選擇器來控制。 圖3.1跑馬燈的輸出原理方框圖 圖3.2 揚聲器輸出原理方框圖4硬件電路的設計與軟件設計4.1集成分頻器模塊1)設計要求顯示不同的跑馬燈的時候要伴隨不同的音樂,所以設計分頻器來用不同的頻率控制不同的音樂輸出。模塊說明:rst:輸入信號 復位信號 用來復位集成分頻器的輸出使輸出為“0”,及沒有音樂輸出。clk:輸入信號 模塊的功能即為分頻輸入的頻率信號。cl

4、k_4、clk_6、clk_8、clk_10:輸出信號 即為分頻模塊對輸入信號clk的分頻,分別為1/4分頻輸出、1/6分頻輸出、1/8分頻輸出、1/10分頻輸出。 圖4.1 集成分頻器2)分頻器vhdl程序編寫及仿真結果library ieee;use ieee.std_logic_1164.all; - ieee庫使用聲明entity fenpinqi is - 實體端口聲明port(clk,rst: in std_logic; - 類型的輸入端口clk_10,clk_4,clk_6,clk_8: out std_logic); - 輸出口end fenpinqi;architecture

5、 cd of fenpinqi is -結構體功能描述語句beginp1:process(clk,rst) -進程語句 variable a:integer range 0 to 20; begin if rst=1 then clk_4=3 then a:=0; -當a大于等于3時,則a等于0 clk_4=1; else a:=a+1; -否則a等于a加1 clk_4=0; end if; end if; end if;end process p1;p2:process(clk,rst) variable b:integer range 0 to 20; begin if rst=1 the

6、n clk_6=5 then b:=0; clk_6=1; else b:=b+1; clk_6=0; end if; end if; end if;end process p2;p3:process(clk,rst) variable c:integer range 0 to 20;begin if rst=1 then clk_8=7 then c:=0; clk_8=1; else c:=c+1; clk_8=0; end if; end if; end if;end process p3; -關閉進程p4:process(clk,rst) -開啟另外一個進程variable d:int

7、eger range 0 to 20; -定義d為變量 begin if rst=1 then clk_10=9 then d:=0; clk_10=1; else d:=d+1; clk_10=0; end if; end if; end if; end process p4; end cd;圖4.2 集成分頻器仿真波形4.2 32進制計數(shù)器模塊1)32進制模塊用來控制彩燈輸出模塊,即確定跑馬燈控制器的不同的輸出。rst:輸入信號 復位信號 用來復位32進制使其輸出為“00000”。clk:輸入信號 用來給模塊提供工作頻率。count_out4.0:輸出信號 即為32進制計數(shù)器的輸出。 圖4

8、.3 32進制計數(shù)器2)32進制計數(shù)器vhdl程序編寫及其仿真結果library ieee;use ieee.std_logic_1164.all; - ieee庫使用聲明entity counter_32 is -實體端口聲明port(clk,rst: in std_logic; -聲明clk,clr是標準邏輯位類型的輸入端口count_out: out integer range 0 to 31);end counter_32;architecture a of counter_32 isbeginprocess (rst,clk) variable temp:integer range

9、0 to 32; -定義temp為變量beginif rst=1 then -條件選擇語句 temp:=0;elsif (clkevent and clk=1) then temp:=temp+1; if(temp=32) then temp:=0; end if; end if; count_out=temp;end process;end a; 圖4.4 32進制計數(shù)器仿真波形 4.3彩燈控制模塊1)彩燈控制模塊用來直接控制跑馬燈的輸出,使彩燈表現(xiàn)出不同的花樣。rst:輸入信號 使彩燈控制模塊的輸出為“00000000”,即讓彩燈無輸出。input4.0:輸入信號 不同的輸入使彩燈控制模塊

10、有不同的輸出即彩燈顯示出不同的花樣。output7.0:輸出信號 直接與彩燈相連來控制彩 圖4.5 彩燈控制模塊 2) 彩燈控制模塊vhdl程序編寫及仿真library ieee;use ieee.std_logic_1164.all; - ieee庫使用聲明entity caideng is - 實體端口聲明 port(input: ininteger range 0 to 31; rst:in std_logic; output: out std_logic_vector(7 downto 0); sm :out std_logic_vector(6 downto 0);end caide

11、ng;architecture a of caideng is -結構體功能描述語句begin process (input,rst) begin if rst=1 then output=00000000;smoutput=10000000;smoutput=01000000;smoutput=00100000;smoutput=00010000;smoutput=00001000;smoutput=00000100;smoutput=00000010;smoutput=00000001;smoutput=00010000;smoutput=00110000;smoutput=0011100

12、0;smoutput=01111000;smoutput=01111100;smoutput=01111110;smoutput=11111110;smoutput=11111111;smoutput=10000001;smoutput=11000001;smoutput=11000011;smoutput=11100011;smoutput=11100111;smoutput=11110111;smoutput=11111111;smoutput=00001000;smoutput=00000001;smoutput=00000010;smoutput=00000100;smoutput=0

13、0001000;smoutput=00010000;smoutput=00100000;smoutput=01000000;smoutput=10000000;smnull;-當sm為0100110時,燈從右邊亮到左邊end case; end if; end process; end a;圖4 .6 .跑馬燈控制模塊仿真波形4.4 4選1選擇器模塊1) rst:輸入信號 復位信號 使選擇器的輸出為“0”。in1、in2、in3、in4:輸入信號 接分頻器的輸出。inp1.0:輸入信號 接4進制計數(shù)器的輸出用來控制選擇器的選擇不同的輸入選擇不同的輸出。output:輸出信號 直接接揚聲器即輸出

14、的是不同的頻率來控制揚聲器播放音樂。 圖4.7 4選1選擇器2) 4選1選擇器模塊vhdl程序編寫及仿真library ieee;use ieee.std_logic_1164.all; - ieee庫使用聲明entity mux41 is - 實體端口聲明port( rst:in std_logic; s:in std_logic_vector(1 downto 0);a,b,c,d: in std_logic;y: out std_logic);end mux41;architecture a of mux41 is -結構體功能描述語句beginprocess (rst,s,a,b,c,

15、d)begin if(rst=1) then yyyyynull; end case; end if;end process;end a;圖4.8 4選1選擇器仿真波形4.5 4進制計數(shù)器模塊1) 4進制計數(shù)器作為選擇器的輸入來控制選擇器選擇不同的頻率作為輸出控制揚聲器工作。clk:輸入信號 來為計數(shù)器提供工作頻率。rst:輸入信號 復位信號 使計數(shù)器的輸出為“00”。 圖4.9 4進制計數(shù)器2) 4進制計數(shù)器模塊vhdl程序編寫及仿真library ieee;use ieee.std_logic_1164.all; - ieee庫使用聲明entity counter_4 is - 實體端口聲

16、明port(clk,rst: in std_logic; - 聲明clk,clr是標準邏輯位count_out: out integer range 0 to 3);end counter_4;architecture a of counter_4 isbeginprocess(rst,clk) variable temp:integer range 0 to 4;begin if rst=1then -如果rst為1,變量temp:為0,否則temp:=temp+1;temp:=0;elsif (clkevent and clk=1) then temp:=temp+1; if(temp=4

17、) then temp:=0; end if;end if; count_out=temp; -把temp賦值給count_outend process; end a圖4.10 4進制計數(shù)器仿真波形5 系統(tǒng)結構及仿真 整個系統(tǒng)就是各個分模塊組成來實現(xiàn)最后的彩燈控制功能,系統(tǒng)又兩個時鐘來控制一個是控制32進制計數(shù)器即控制彩燈控制模塊來實現(xiàn)彩燈的不同輸出,另一個時鐘為分頻器的輸入來進行分頻處理,最后用來控制揚聲器發(fā)出不同的音樂,具體分頻處理的時鐘的頻率比實現(xiàn)彩燈控制的時鐘頻率要高。 圖 5.1 系統(tǒng)功能模塊圖 5.2 系統(tǒng)功能仿真波形6.總結這次的eda課程設計有一周的時間,在這一周的時間里我們充

18、分合理的安排了自己的時間來使本次的課程設計能夠順利的完成,當然我們在本次的設計中并不是一帆風順的,我們遇到了一些的問題,例如我們開始時用的文本的方式用一個總的程序來完成,可以在設計的過程中我們發(fā)現(xiàn)程序編到后面變量越到很容易搞混淆同時各個進程間的聯(lián)系也越來越模糊以至于后面我們自己都不知道程序的整體框圖是什么,導致后面不能夠繼續(xù)下去,后面我們再一次對我們這次的設計題目進行了分析和整理,最后我和我的同伴決定采用分模塊的方式來完成本次的課題設計,當然最重要的是分析各個模塊間的關系。最后我們采用上面分析的結構框圖。最后我們的設計很成功,仿真和硬件測試都是正確的,實現(xiàn)了我們的設計要求和目的。在這次設計中我們收獲了很多,首先最直接的收獲就是我們鞏固了這門課程所學過的知識,把它運用到了實踐當中,并

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論