1513.基于CPLD手動觸發(fā)計數(shù)器的設(shè)計_第1頁
1513.基于CPLD手動觸發(fā)計數(shù)器的設(shè)計_第2頁
1513.基于CPLD手動觸發(fā)計數(shù)器的設(shè)計_第3頁
1513.基于CPLD手動觸發(fā)計數(shù)器的設(shè)計_第4頁
1513.基于CPLD手動觸發(fā)計數(shù)器的設(shè)計_第5頁
已閱讀5頁,還剩12頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、 課程設(shè)計(論文)說明書題 目: 基于cpld手動觸發(fā) 計數(shù)器的設(shè)計 院 (系): 專 業(yè): 學(xué)生姓名: 學(xué) 號: 指導(dǎo)教師: 職 稱: 年 月 日摘 要cpld是一種用戶根據(jù)各自需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。其基本設(shè)計方法是借助集成開發(fā)軟件平臺,用原理圖、硬件描述語言等方法,生成相應(yīng)的目標(biāo)文件,通過下載電纜(“在系統(tǒng)”編程)將代碼傳送到目標(biāo)芯片中,實現(xiàn)設(shè)計的數(shù)字系統(tǒng)。本課程設(shè)計就是利用xilinx的xc9572pc44進(jìn)行設(shè)計,可以完成十進(jìn)制計數(shù),通過芯片的i/o口和串口,可實現(xiàn)本地數(shù)碼管顯示,同時能手動觸發(fā)計數(shù)器計數(shù)。通過本次系統(tǒng)的設(shè)計,感覺自己收獲頗多,要能真正地完成一個系統(tǒng)的設(shè)

2、計與實現(xiàn),要注意注意資料的收集,硬件電路設(shè)計,軟件部分的設(shè)計等方面。關(guān)鍵詞:cpld;xilinx;數(shù)碼管;計數(shù)器;vhdl;jtag;xc9572目 錄引言 11 系統(tǒng)結(jié)構(gòu)設(shè)計 21.1 系統(tǒng)概述 21.2 系統(tǒng)設(shè)計要求 21.2 系統(tǒng)結(jié)構(gòu)框架 22 硬件設(shè)計 22.1原理介紹 22.2 數(shù)碼管顯示部分 32.3 芯片介紹 42.4 原理圖設(shè)計 53 軟件設(shè)計 83.1 軟件設(shè)計流程 83.2 程序設(shè)計 84 調(diào)試部分 104.1 硬件調(diào)試 104.2 軟件調(diào)試 114.3 調(diào)試結(jié)果 115 結(jié)論與體會12謝辭 13參考文獻(xiàn) 14引言20世紀(jì)70年代,最早的可編程邏輯器件-pld誕生了。其輸

3、出結(jié)構(gòu)是可編程的邏輯宏單元,因為它的硬件結(jié)構(gòu)設(shè)計可由軟件完成(相當(dāng)于房子蓋好后人工設(shè)計局部室內(nèi)結(jié)構(gòu)),因而它的設(shè)計比起硬件的數(shù)字電路具有很強(qiáng)的靈活性,但其過于簡單的結(jié)構(gòu)也使它們只能實現(xiàn)規(guī)模較小的電路。為彌補(bǔ)pld只能設(shè)計小規(guī)模電路這一缺陷,20世紀(jì)80年代中期,推出了復(fù)雜可編程邏輯器件-cpld。目前應(yīng)用已深入網(wǎng)絡(luò)、儀器儀表、汽車電子、數(shù)控機(jī)床、航天測控設(shè)備等方面。cpld具有編程靈活、集成度高、設(shè)計開發(fā)周期短、適用范圍寬、開發(fā)工具先進(jìn)、設(shè)計制造成本低、對設(shè)計者的硬件經(jīng)驗要求低、標(biāo)準(zhǔn)產(chǎn)品無需測試、保密性強(qiáng)、價格大眾化等特點,可實現(xiàn)較大規(guī)模的電路設(shè)計,因此被廣泛應(yīng)用于產(chǎn)品的原型設(shè)計和產(chǎn)品生產(chǎn)(一

4、般在10,000件以下)之中。幾乎所有應(yīng)用中小規(guī)模通用數(shù)字集成電路的場合均可應(yīng)用cpld器件。cpld器件已成為電子產(chǎn)品不可缺少的組成部分,它的設(shè)計和應(yīng)用成為電子工程師必備的一種技能。 cpld是一種用戶根據(jù)各自需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。其基本設(shè)計方法是借助集成開發(fā)軟件平臺,用原理圖、硬件描述語言等方法,生成相應(yīng)的目標(biāo)文件,通過下載電纜(“在系統(tǒng)”編程)將代碼傳送到目標(biāo)芯片中,實現(xiàn)設(shè)計的數(shù)字系統(tǒng)。經(jīng)過幾十年的發(fā)展,許多公司都開發(fā)出了cpld可編程邏輯器件。比較典型的就是altera、lattice、xilinx世界三大權(quán)威公司的產(chǎn)品,這里給出常用芯片: altera epm7128

5、s (plcc84) lattice lc4128v (tqfp100) xilinx xc95108 (plcc84)。 本課程設(shè)計就是利用xilinx的xc9572pc44進(jìn)行設(shè)計,可以完成十進(jìn)制計數(shù),通過芯片的i/o口和串口,可實現(xiàn)本地數(shù)碼管顯示,同時能手動觸發(fā)計數(shù)器計數(shù)。1 系統(tǒng)結(jié)構(gòu)設(shè)計1.1 系統(tǒng)概述本課題利用xc9572驅(qū)動數(shù)碼管顯示十進(jìn)制計數(shù),并制作xiline公司的jtag編程器下載線,可以實現(xiàn)xiline公司的cpld下載。1.2 系統(tǒng)設(shè)計要求 能用控制芯片記數(shù),并在數(shù)碼管上顯示; 實現(xiàn)計算機(jī)與芯片之間的串行數(shù)據(jù)通信; 在計算機(jī)上實現(xiàn)編輯界面,設(shè)置記數(shù)周期,并能下載到芯片。1

6、.3 系統(tǒng)結(jié)構(gòu)框架根據(jù)系統(tǒng)設(shè)計的要求,計數(shù)器的系統(tǒng)框架如圖1所示。計算機(jī)編程xc9572數(shù)碼管顯示開關(guān)控制上電復(fù)位圖 1 計數(shù)器的結(jié)構(gòu)框圖本系統(tǒng)能完成十進(jìn)制記數(shù)功能。2、硬件設(shè)計 2、1原理介紹20世紀(jì)70年代,最早的可編程邏輯器件-pld誕生了。其輸出結(jié)構(gòu)是可編程的邏輯宏單元,因為它的硬件結(jié)構(gòu)設(shè)計可由軟件完成(相當(dāng)于房子蓋好后人工設(shè)計局部室內(nèi)結(jié)構(gòu)),因而它的設(shè)計比純硬件的數(shù)字電路具有很強(qiáng)的靈活性,但其過于簡單的結(jié)構(gòu)也使它們只能實現(xiàn)規(guī)模較小的電路。為彌補(bǔ)pld只能設(shè)計小規(guī)模電路這一缺陷,20世紀(jì)80年代中期,推出了復(fù)雜可編程邏輯器件-cpld。目前應(yīng)用已深入網(wǎng)絡(luò)、儀器儀表、汽車電子、數(shù)控機(jī)床、

7、航天測控設(shè)備等方面。 cpld具有編程靈活、集成度高、設(shè)計開發(fā)周期短、適用范圍寬、開發(fā)工具先進(jìn)、設(shè)計制造成本低、對設(shè)計者的硬件經(jīng)驗要求低、標(biāo)準(zhǔn)產(chǎn)品無需測試、保密性強(qiáng)、價格大眾化等特點,可實現(xiàn)較大規(guī)模的電路設(shè)計,因此被廣泛應(yīng)用于產(chǎn)品的原型設(shè)計和產(chǎn)品生產(chǎn)(一般在10,000件以下)之中。幾乎所有應(yīng)用中小規(guī)模通用數(shù)字集成電路的場合均可應(yīng)用cpld器件。cpld器件已成為電子產(chǎn)品不可缺少的組成部分,它的設(shè)計和應(yīng)用成為電子工程師必備的一種技能。 cpld是一種用戶根據(jù)各自需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。其基本設(shè)計方法是借助集成開發(fā)軟件平臺,用原理圖、硬件描述語言等方法,生成相應(yīng)的目標(biāo)文件,通過下載

8、電纜(“在系統(tǒng)”編程)將代碼傳送到目標(biāo)芯片中,實現(xiàn)設(shè)計的數(shù)字系統(tǒng)。本課程設(shè)計是計數(shù)器設(shè)計,下面介紹芯片的設(shè)計流程。cpld的工作大部分是在電腦上完成的。打開集成開發(fā)軟件xilinx ise 7.1i畫原理圖、寫硬件描述語言(vhdl,verilog)編譯給出邏輯電路的輸入激勵信號,進(jìn)行仿真,查看邏輯輸出結(jié)果是否正確進(jìn)行管腳輸入、輸出鎖定生成代碼通過下載板將代碼傳送并存儲在cpld芯片中。通過觸發(fā)式開關(guān)的控制可以實現(xiàn)計數(shù),復(fù)位,使能。 2、2數(shù)碼管顯示部分?jǐn)?shù)碼管有共陰和共陽之分,單片機(jī)都可以驅(qū)動,但是驅(qū)動的方法不同,并且相應(yīng)的09的顯示代碼也剛好相反。這里采用4位共陽數(shù)碼管,前面兩位用于顯示溫度

9、整數(shù),后兩位顯示小數(shù),因為要顯示四位不同的數(shù)字,所以必須用動態(tài)掃描的方法來實現(xiàn),不斷循環(huán),這樣只要掃描時間小于1/50秒,就會因為人眼的視覺殘留效應(yīng),看到四位不同的數(shù)字穩(wěn)定顯示。數(shù)碼管使用的電流,靜態(tài)時一般使用1015ma;動態(tài)時平均電流45ma,峰值電流5060ma。所以,為了提高輸入電流,選擇的限流電阻不能太大。其與單片機(jī)的連接如下:圖2 數(shù)碼管顯示部分原理圖2、3 芯片介紹本次課程設(shè)計采用的是xiline公司的xc9572芯片。xiline公司的xc9500系列低成本cpld系列提供了當(dāng)今前沿系統(tǒng)設(shè)計所需要的高性能、豐富的性能組合以及靈活性。 另外,出色的管腳鎖定功能使設(shè)計者能夠修改其c

10、pld設(shè)計,而不會影響電路板布局。 與競爭cpld產(chǎn)品相比,xc9500系列在每個密度點都提供了多12%以上的邏輯資源,而且不需要額外的成本。下面簡單介紹xc9572的結(jié)構(gòu)性能。xc9572有44個引腳,其中34個為用戶的i/0腳,其它為jtag腳和電源引腳,具體管腳分布如下表所示表 1 芯片引腳分布芯片的外部構(gòu)造以及芯片命名如下圖所示圖 3 芯片外部構(gòu)造及命名芯片內(nèi)部構(gòu)造如下圖所示 圖 4 芯片內(nèi)部構(gòu)造2、4 原理圖設(shè)計 jtag(joint test action group)聯(lián)合測試行動小組是一種國際標(biāo)準(zhǔn)測試協(xié)議(ieee 1149.1兼容),主要用于芯片內(nèi)部測試?,F(xiàn)在多數(shù)的高級器件都支

11、持jtag協(xié)議,如dsp、fpga器件等。標(biāo)準(zhǔn)的jtag接口是4線:tms、 tck、tdi、tdo,分別為模式選擇、時鐘、數(shù)據(jù)輸入和數(shù)據(jù)輸出線。 jtag最初是用來對芯片進(jìn)行測試的,基本原理是在器件內(nèi)部定義一個tap(test access port測試訪問口)通過專用的jtag測試工具對進(jìn)行內(nèi)部節(jié)點進(jìn)行測試。jtag測試允許多個器件通過jtag接口串聯(lián)在一起,形成一個jtag鏈,能實現(xiàn)對各個器件分別測試。現(xiàn)在,jtag接口還常用于實現(xiàn)isp(in-system rogrammable在線編程),對flash等器件進(jìn)行編程。 jtag編程方式是在線編程,傳統(tǒng)生產(chǎn)流程中先對芯片進(jìn)行預(yù)編程現(xiàn)再裝

12、到板上因此而改變,簡化的流程為先固定器件到電路板上,再用jtag編程,從而大大加快工程進(jìn)度。jtag接口可對psd芯片內(nèi)部的所有部件進(jìn)行編程jtag下載板的原理圖如下圖所示圖 5 jtag下載板原理圖jtag下載板的pcb 圖如下圖所示圖 6 jtag下載板pcb圖xiline板的原理圖如下圖所示,利用jtag將驅(qū)動程序下載到xc9572并用xc9572的i/o口控制數(shù)碼管的顯示。圖 7 xiline板的原理圖xiline板的pcb圖如下圖所示圖 8 xiline板的pcb圖3 軟件設(shè)計 3、1軟件設(shè)計流程本次課程設(shè)計使用xilinx.ise.v7.1i進(jìn)行工程設(shè)計,具體流程是:建立工程項目利

13、用綜合器進(jìn)行綜合定義輸入輸出管腳約束布局布線下載配置。3、2 程序設(shè)計為了使計數(shù)器系統(tǒng)能正常工作,必須對芯片正確的設(shè)置。計數(shù)器的程序設(shè)計如下所示。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter10 isport(clk,reset,en: in std_logic; led7s : out std_logic_vector(6 downto 0);end counter10;architecture behavior of counter10 issignal cou

14、nt:std_logic_vector(3 downto 0);begin process(reset,clk,en) begin if reset=1then count(3 downto 0)=0000; else if(clkevent and clk=1)then if(en=1)then if(count=1001)then count=0000; else count led7s led7s led7s led7s led7s led7s led7s led7s led7s led7s null ; end case;end process;end behavior;其具體流程圖如

15、所示。開始使能開關(guān)啟動芯片工作觸發(fā)開關(guān),開始計數(shù)en=1?清0結(jié)束ny圖9 計數(shù)器流程圖4 調(diào)試部分此系統(tǒng)的調(diào)試可以分為硬件調(diào)試和軟件調(diào)試。其中硬件調(diào)試,是軟件調(diào)試的基礎(chǔ),硬件設(shè)計上的任何錯誤,都會導(dǎo)致不必要的麻煩,所以在設(shè)計時就應(yīng)當(dāng)注意。在硬件沒有了問題,重點就放到軟件程序上了,它是整個調(diào)試過程的核心,也是整套系統(tǒng)功能的基本要求,難度較大,需要耐心仔細(xì)地檢測每一語句。4.1 硬件調(diào)試硬件部分的電路在前面已經(jīng)作了介紹,這里不再重復(fù),將兩個模塊有效地組合在一起,就構(gòu)成了最終的電路。在硬件調(diào)試過程中,應(yīng)該注意的問題有: 電路各元件裝配焊接好后,首先檢查是否存在虛焊的情況,這是很容易忽略的。具體的方

16、法為,接上+3v直流電源,用萬用表逐一檢查,看電壓是否正常。 xc9572工作時的幾個極限參數(shù)調(diào)試時可用這幾個參數(shù)來衡量。 芯片必須存在復(fù)位電路和外接晶振或者觸發(fā)脈沖,其引腳接高電平。 數(shù)碼管必須清楚地區(qū)分是共陰,還是共陽的,這二者之間有很大的不同,包括原理和代碼。數(shù)碼管需要在較大的驅(qū)動電流時才具有較高的亮度,但也不能因追求亮度而無限降低其電阻值,試驗中要根據(jù)情況選擇。本設(shè)計中選擇電阻為100歐姆。 保證芯片jtag口和芯片之間的正確匹配,其輸入、輸出必須對應(yīng),我在此就犯了錯,以致調(diào)試時出現(xiàn)了問題。當(dāng)硬件的檢查沒有了問題之后,外接+3v電源之后,元件正常工作,數(shù)碼管能正常顯示時,就可以進(jìn)入軟件

17、的調(diào)試了。4.2 軟件調(diào)試前面介紹的為軟件部分的流程圖以及部分代碼,詳細(xì)的見源程序,對它們進(jìn)行配置和鏈接之后,加上硬件電路,就可以實現(xiàn)整個系統(tǒng)的功能了。軟件調(diào)試要注意以下幾點: 軟件調(diào)試是建立在硬件的基礎(chǔ)之上的,因此,其中參數(shù)的設(shè)置,必須與硬件相符合,不能妄自設(shè)定其參數(shù)值。 程序的測試步驟,一般是先對其中某個模塊進(jìn)行測試,在這一模塊的功能實現(xiàn)了之后,再調(diào)試下一模塊。這樣更利于找出程序中哪里出現(xiàn)了問題,也更容易進(jìn)行改進(jìn)。本系統(tǒng)即可先檢測數(shù)碼管顯示部分,看其在程序下載之后能否正常顯示,若不能,則需對數(shù)碼管顯示程序進(jìn)行改進(jìn)。 軟件的調(diào)試難度大于硬件,有時候即使是缺少了一個符號,最終的結(jié)果也不會正確,

18、而且軟件的調(diào)試,也不是花一點時間就可以解決的事情,所以在調(diào)試時應(yīng)特別注意。vhdl程序的仿真圖如下圖所示圖 10 vhdl程序的仿真圖4.3 調(diào)試結(jié)果本系統(tǒng)能實現(xiàn)十進(jìn)制計數(shù),通過芯片的i/o口和串口,可實現(xiàn)本地數(shù)碼管顯示,同時能手動觸發(fā)計數(shù)器計數(shù),基本滿足設(shè)計的要求。主機(jī)界面采用vhdl設(shè)計,界面操作以及代碼的編寫難度都不是很大。jtag口下載板部分也是調(diào)試時應(yīng)該注意的問題。5. 結(jié)論與體會通過本次系統(tǒng)的設(shè)計,感覺自己收獲頗多,要能真正地完成一個系統(tǒng)的設(shè)計與實現(xiàn),有幾方面值得注意。第一,資料的收集。在確定了研究設(shè)計的方向之后,接下來的時間就是電路設(shè)計前的準(zhǔn)備工作,這一步看似簡單,卻起著至關(guān)重要的作用,資料準(zhǔn)備的充分與否直接影響后面的工作。第二,硬件電路設(shè)計。對自己所要用到的芯片以及其它的器件的性能要有充分的了解,這樣才能設(shè)計出合適的電路,自己在開始做的時候就忽略了這一點,以致影響了硬件電路的正常工作。第三,軟件部分的設(shè)計。對自己來說,由于平時涉及的項目太少,因此在完成軟件的過程中困難重重,要使用的東西都是現(xiàn)在才學(xué),基礎(chǔ)太薄弱。最后

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論