VHDL狀態(tài)機(jī)設(shè)計(jì)的智能交通控?zé)艟穇第1頁
VHDL狀態(tài)機(jī)設(shè)計(jì)的智能交通控?zé)艟穇第2頁
VHDL狀態(tài)機(jī)設(shè)計(jì)的智能交通控?zé)艟穇第3頁
VHDL狀態(tài)機(jī)設(shè)計(jì)的智能交通控?zé)艟穇第4頁
VHDL狀態(tài)機(jī)設(shè)計(jì)的智能交通控?zé)艟穇第5頁
已閱讀5頁,還剩2頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、題 目 :基于VHDL 狀態(tài)機(jī)設(shè)計(jì)的智能交通控?zé)? 引言可編程器件的廣泛應(yīng)用, 為數(shù)字系統(tǒng)的設(shè)計(jì)帶來了極大的靈活性。 由于可編程器 件可以通過軟件編程對(duì)硬件的結(jié)構(gòu)和工作方式進(jìn)行重構(gòu), 使得硬件的設(shè)計(jì)可以如同軟 件設(shè)計(jì)那樣快捷方便。由于高速發(fā)展的 FPGA/CPLD 兼有串、并行工作方式和高速、 高可靠性的特點(diǎn) 1 ,在電子系統(tǒng)設(shè)計(jì)中得到了廣泛應(yīng)用。通常使用硬件描述語言( Hardware Description Language ,HDL )進(jìn)行數(shù) 字電子系統(tǒng)設(shè)計(jì)。目前應(yīng)用廣泛的硬件描述語言有: VHDL 語言, Verilog HDL 語 言,AHDL 語言。VHDL 語言由于具有強(qiáng)大的行為

2、描述能力和豐富的仿真語句從而成 為系統(tǒng)設(shè)計(jì)領(lǐng)域最佳的硬件描述語言。2 設(shè)計(jì)方案2.1 狀態(tài)機(jī)簡(jiǎn)介關(guān)于狀態(tài)機(jī)的一個(gè)極度確切的描述是它是一個(gè)有向圖形,由一組節(jié)點(diǎn)和一 組相應(yīng)的轉(zhuǎn)移函數(shù)組成 2 。狀態(tài)機(jī)通過響應(yīng)一系列事件而“運(yùn)行”。每個(gè)事件都 在屬于“當(dāng)前” 節(jié)點(diǎn)的轉(zhuǎn)移函數(shù)的控制范圍內(nèi),其中函數(shù)的范圍是節(jié)點(diǎn)的一個(gè) 子集。函數(shù)返回“下一個(gè)”(也可以是同一個(gè))節(jié)點(diǎn)。這些節(jié)點(diǎn)中至少有一個(gè) 必須是終態(tài)。當(dāng)?shù)竭_(dá)終態(tài), 狀態(tài)機(jī)停止。包含一組狀態(tài)集( states )、一個(gè)起 始狀態(tài)( start state )、一組輸入符號(hào)集( alphabet )、一個(gè)映射輸入符號(hào)和當(dāng) 前狀態(tài)到下一狀態(tài)的轉(zhuǎn)換函數(shù)( tran

3、sition function )的計(jì)算模型 。當(dāng)輸入符號(hào) 串,模型隨即進(jìn)入起始狀態(tài)。它要改變到新的狀態(tài),依賴于轉(zhuǎn)換函數(shù) 4 。在有限 狀態(tài)機(jī)中,會(huì)有有許多變量,例如,狀態(tài) 機(jī)有很多與動(dòng)作( actions )轉(zhuǎn)換或狀 態(tài)關(guān)聯(lián)的動(dòng)作,多重起始狀態(tài),基于沒有輸入符號(hào)的轉(zhuǎn)換,或者指定符號(hào)和狀 態(tài)(非定有 限狀態(tài)機(jī))的多個(gè)轉(zhuǎn)換,指派給接收狀態(tài)(識(shí)別者)的一個(gè)或多個(gè) 狀態(tài)5 ,等等。有限狀態(tài)機(jī)克服了純硬件數(shù)字系統(tǒng)順序方式控制不靈活的缺點(diǎn)6狀態(tài)機(jī)的工作方式是根據(jù)控制信號(hào)按照預(yù)先設(shè)定的狀態(tài)進(jìn)行順序運(yùn)行的, 狀態(tài)機(jī)是純硬件數(shù)字系統(tǒng) 中的順序控制電路,因此狀態(tài)機(jī)在其運(yùn)行方式上類似于控制靈活和方便的CPU,而在

4、運(yùn)行速度和工作可靠性方面都優(yōu)于 CPU7 。2.2 主體設(shè)計(jì)十字路口設(shè)計(jì)兩組交通燈分別控制東西和南北兩個(gè)方向的交通。如圖2-1 所示 ,當(dāng)東西方向的紅燈亮?xí)r , 南北方向?qū)?yīng)綠燈亮 , 過渡階段黃燈亮 ,即東西方向紅燈亮 的時(shí)間等于南北方向綠燈和黃燈亮的時(shí)間之和。 交通燈維持變亮的時(shí)間取決于鍵盤輸 入的控制鍵值。同理 ,當(dāng)南北方向的紅燈變亮?xí)r ,東西方向的交通燈也遵循此邏輯。總 體上由狀態(tài)機(jī)實(shí)現(xiàn)控制 ,本設(shè)計(jì)中使用兩個(gè)狀態(tài)機(jī)分別控制東西和南北兩個(gè)方向的交 通。每個(gè)狀態(tài)機(jī)中都設(shè)有 4 個(gè)狀態(tài) ,分別對(duì)應(yīng)紅燈亮、綠燈亮、黃燈亮和出現(xiàn)緊急狀 況時(shí)兩個(gè)方向上的紅燈同時(shí)變亮 ,停止倒計(jì)時(shí)的同時(shí)數(shù)碼管上出

5、現(xiàn)閃爍。路口的繁忙 程度是不一樣的 , 白天時(shí)的交通比較繁忙 , 因此 , 紅綠燈要變化快一些以便提高通過 效率, 減少擁堵時(shí)間 ; 相反 , 夜晚交通稀疏 , 就需要紅綠燈變化慢一些。 因此 , 加入鍵 盤控制程序來控制交通維持變亮狀態(tài)的持續(xù)時(shí)間。0 n m圖 2-1 控制燈控制情況3 總體設(shè)計(jì)結(jié)構(gòu)框圖總體設(shè)計(jì)結(jié)構(gòu)框圖如圖 3-1 所示,共有 11 個(gè)功能模塊,包括控制東西方向交通 燈的狀態(tài)機(jī)和控制南北方向交通燈的狀態(tài)機(jī)、 計(jì)數(shù)器模塊、鍵盤掃描模塊、 數(shù)字合成 模塊、三個(gè)分位模塊、數(shù)碼管顯示模塊、動(dòng)態(tài)顯示掃描模塊。KeyclkkboClk8HZResetKeyvalue countumKey

6、inkeyoutGetnum鍵盤模塊數(shù)字合成模塊Clock1HZResetKeyincountnumHold計(jì)數(shù)器模塊ClocknumaRrsetredaHoldgreenaGetinyellowaCountnum狀態(tài)機(jī)(東西方向)ClocknumbResetredbHoldgreenbGetinyellowbCountnum狀態(tài)機(jī)(南北方向)Numin numaNumb 分位模塊NuminNuminnumaNumb 分位模塊numaNumb 分位模塊NunmaANumbBNumcCNumdClockENumeFNumfSelkeyinGClkd-outReset顯示模塊動(dòng)態(tài)顯示掃描模塊102

7、4HZ圖 3-1 總體設(shè)計(jì)結(jié)構(gòu)圖用 VHDL 語言對(duì)各個(gè)模塊進(jìn)行編程,在 MAX+PLUS 環(huán)境下進(jìn)行編譯與仿真, 檢查所編程序是否運(yùn)行正確。如果出現(xiàn)錯(cuò)誤,需要進(jìn)行修改,直到完全通過為止。需 要說明的是,在進(jìn)行程序編譯時(shí),要先從底層程序開始,所有底層程序都正確后,才能開始頂層程序的編譯84 仿真結(jié)果4.1 狀態(tài)機(jī)仿真東西方向和南北方向狀態(tài)機(jī)仿真結(jié)果如圖 4-1 和圖 4-2 所示,從圖 4-1 可以看出, getin 是從鍵盤獲得的鍵值,這里輸入的是 40 s,初始狀態(tài)為 S0 狀態(tài)紅燈亮。從 S0 狀態(tài)跳轉(zhuǎn)到 S1 狀態(tài)即由紅燈狀態(tài)跳轉(zhuǎn)到綠燈狀態(tài)時(shí), 數(shù)碼管顯示的倒計(jì)時(shí)從 15 s 開始;當(dāng)

8、由 S1 狀態(tài)跳轉(zhuǎn)到 S2 狀態(tài)即由綠燈狀態(tài)跳轉(zhuǎn)到黃燈狀態(tài)時(shí), GREENA 由高 電平變?yōu)榈碗娖剑?YELLOWA 由低電平變?yōu)楦唠娖?。倒?jì)時(shí)時(shí)間從 5s 開始。南北方 向仿真圖與東西方向類似,這里不再贅述。圖 4-1 東西方向狀態(tài)機(jī)仿真結(jié)果圖 4-2 南北方向狀態(tài)機(jī)仿真結(jié)果4.2 計(jì)數(shù)器仿真計(jì)數(shù)器從鍵盤上得到的鍵值為 40s ,從仿真結(jié)果圖 4-3 所示上可以看出,計(jì)數(shù)器 能正常計(jì)數(shù)。圖 4-3 計(jì)數(shù)器仿真結(jié)果從仿真結(jié)果可以看出, 計(jì)數(shù)器能夠正常計(jì)數(shù), 狀態(tài)機(jī)狀態(tài)能夠正確狀態(tài)變換。 東 西方向初始狀態(tài)為綠燈,從 15 開始倒計(jì)時(shí),南北方向初始狀態(tài)為紅燈,從 20 開始 倒計(jì)時(shí)。該系統(tǒng)硬件電

9、路包含了 1個(gè) CPLD ,6個(gè) 7段 LED 數(shù)碼顯示器,分別表示各個(gè) 方向上的紅、黃、綠燈,以及相應(yīng)的限流電阻。與其他控制方法相比,所用器件可以 說是比較簡(jiǎn)單經(jīng)濟(jì)的。經(jīng)過實(shí)驗(yàn),實(shí)現(xiàn)了預(yù)定的交通燈系統(tǒng)的控制功能 9 。數(shù)碼顯示 器采用動(dòng)態(tài)掃描方式,大大節(jié)約了資源。5 總結(jié)該交通燈控制邏輯可以實(shí)現(xiàn) 3 種顏色燈的交替點(diǎn)亮以及時(shí)間的倒計(jì)時(shí), 指揮車輛 和行人安全通行。 本文介紹的是一種最基本和簡(jiǎn)單的交通燈設(shè)計(jì)情況。 在此基礎(chǔ)上能 夠舉一反三, 從而用 VHDL 語言實(shí)現(xiàn)其它交通燈的控制設(shè)計(jì) 10 。隨著 EDA 技術(shù)的發(fā) 展,在今后的電子產(chǎn)品研究開發(fā)中, EDA 技術(shù)具有更好的開發(fā)手段和性價(jià)比,擁有 廣泛的市場(chǎng)應(yīng)用前景。指導(dǎo)教師評(píng)語(主要評(píng)價(jià)的工作量、試驗(yàn)數(shù)據(jù)的可靠

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論