煙臺(tái)大學(xué)數(shù)字邏輯課程設(shè)計(jì)題目_第1頁(yè)
煙臺(tái)大學(xué)數(shù)字邏輯課程設(shè)計(jì)題目_第2頁(yè)
煙臺(tái)大學(xué)數(shù)字邏輯課程設(shè)計(jì)題目_第3頁(yè)
煙臺(tái)大學(xué)數(shù)字邏輯課程設(shè)計(jì)題目_第4頁(yè)
煙臺(tái)大學(xué)數(shù)字邏輯課程設(shè)計(jì)題目_第5頁(yè)
已閱讀5頁(yè),還剩10頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第三章數(shù)字系統(tǒng)設(shè)計(jì)項(xiàng)目(數(shù)字邏輯課程設(shè)計(jì)課題)3. 1多功能數(shù)字電子鐘、設(shè)計(jì)要求1 具有以二十四小時(shí)制計(jì)時(shí)、顯示、整點(diǎn)報(bào)時(shí)、時(shí)間設(shè)置和鬧鐘的功能。2. 設(shè)計(jì)精度要求為 1s。、系統(tǒng)功能描述系統(tǒng)輸入:系統(tǒng)狀態(tài)及校時(shí)、定時(shí)轉(zhuǎn)換的控制信號(hào)為k、set;時(shí)鐘信號(hào)clk,采用1024Hz ;系統(tǒng)復(fù)位信號(hào)為 reset。輸入信號(hào)均由按鍵產(chǎn)生。系統(tǒng)輸出:LED顯示輸出;蜂鳴器聲音信號(hào)輸出。多功能數(shù)字鐘控制器的狀態(tài)圖如圖3-1所示:k=1圖中:S0:顯示計(jì)時(shí)時(shí)間T0:顯示鬧鈴時(shí)間S1:調(diào)計(jì)時(shí)的時(shí)T1 :調(diào)鬧鈴的時(shí)S2:調(diào)計(jì)時(shí)的分T2 :調(diào)鬧鈴的分S3:調(diào)計(jì)時(shí)的秒T3 :調(diào)鬧鈴的秒圖3-1多功能數(shù)字鐘控制器狀態(tài)

2、圖24 h計(jì)時(shí)制計(jì)時(shí)并顯示,蜂鳴器無(wú)聲,逢整系統(tǒng)功能的具體描述如下: 計(jì)時(shí):正常工作狀態(tài)下,每日按點(diǎn)報(bào)時(shí)。校時(shí):在計(jì)時(shí)顯示狀態(tài)下,按下“ set鍵”,進(jìn)入“小時(shí)”校準(zhǔn)狀態(tài),之后按 下“ k鍵”則進(jìn)入“分”校準(zhǔn)狀態(tài),繼續(xù)按下“k鍵”則進(jìn)入“秒復(fù)零”狀態(tài),第三次按下“ k鍵”又恢復(fù)到正常計(jì)時(shí)顯示狀態(tài)。1) “小時(shí)”校準(zhǔn)狀態(tài):在“小時(shí)”校準(zhǔn)狀態(tài)下,顯示“小時(shí)”的數(shù)碼管閃爍, 并以4Hz的頻率遞增計(jì)數(shù)。2) “分”校準(zhǔn)狀態(tài):在“分”校準(zhǔn)狀態(tài)下,顯示“分”的數(shù)碼管閃爍,并以 4Hz的頻率遞增計(jì)數(shù)。3) “秒”復(fù)零狀態(tài):在“秒復(fù)零”狀態(tài)下,顯示“秒”的數(shù)碼管閃爍并復(fù)零。整點(diǎn)報(bào)時(shí):蜂鳴器在“ 59”分鐘的第

3、51 ”、“ 53”、“ 55、“ 57”秒發(fā)頻 率為512Hz的低音,在“ 59”分鐘的第“ 59”秒發(fā)頻率為1024Hz的高音,結(jié)束時(shí) 為整點(diǎn)。顯示:要求采用掃描顯示方式驅(qū)動(dòng)6個(gè)LED數(shù)碼管顯示小時(shí)、分、秒。鬧鐘:鬧鐘定時(shí)時(shí)間到,蜂鳴器發(fā)出周期為1s的“滴”、“滴”聲,持續(xù)時(shí)間為10s ;鬧鐘定時(shí)顯示。鬧鐘定時(shí)設(shè)置:在鬧鐘定時(shí)顯示狀態(tài)下,按下“ set鍵”,進(jìn)入鬧鐘的 “時(shí)” 設(shè)置狀態(tài),之后按下“ k鍵”進(jìn)入鬧鐘的“分”設(shè)置狀態(tài),繼續(xù)按下“ k鍵”則進(jìn)入“秒” 設(shè)置狀態(tài),第三次按下“ k鍵”又恢復(fù)到鬧鐘定時(shí)顯示狀態(tài)。1) 鬧鐘“小時(shí)”設(shè)置狀態(tài):在鬧鐘“小時(shí)”設(shè)置狀態(tài)下,顯示“小時(shí)”的數(shù)碼管

4、閃爍,并以4Hz的頻率遞增計(jì)數(shù)。2) 鬧鐘“分”設(shè)置狀態(tài):在鬧鐘“分”設(shè)置狀態(tài)下,顯示“分”的數(shù)碼管閃爍,并以4Hz的頻率遞增計(jì)數(shù)。數(shù)字鐘系統(tǒng)電路結(jié)構(gòu)框圖如圖3-2所示。圖3-2數(shù)字鐘系統(tǒng)總體結(jié)構(gòu)框圖顯示控制電路+蜂鳴器1024Hz掃描顯示電路1024Hz512Hz4Hz1Hz三、課程設(shè)計(jì)報(bào)告要求1. 系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2.2 控制器功能模塊設(shè)計(jì)說(shuō)明及源程序。3 設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。4 設(shè)計(jì)結(jié)果、討論及心得體會(huì)。3. 2籃球30秒定時(shí)控制電路一、設(shè)計(jì)要求1具有顯示30s計(jì)時(shí)功能,計(jì)時(shí)器為 30 s遞減計(jì)時(shí)器,計(jì)時(shí)間隔為 1s。2 具有直接清零、啟動(dòng)和暫停

5、/連續(xù)等功能。3計(jì)時(shí)器遞減計(jì)時(shí)到零時(shí),數(shù)碼管顯示不能滅燈,同時(shí)發(fā)出聲光電報(bào)警信號(hào)。二、系統(tǒng)功能描述根據(jù)設(shè)計(jì)要求,籃球 30秒計(jì)時(shí)器包括秒脈沖發(fā)生器、計(jì)數(shù)器、譯碼顯示電路、 輔助時(shí)序控制電路(簡(jiǎn)稱控制電路)和報(bào)警電路等 5個(gè)部分組成。其中計(jì)數(shù)器和控制 電路是系統(tǒng)的主要部分。計(jì)數(shù)器完成30s計(jì)時(shí)功能,而控制電路則控制計(jì)數(shù)器的啟動(dòng)計(jì)數(shù)、暫停/連續(xù)計(jì)數(shù)。為了保證系統(tǒng)的設(shè)計(jì)要求,在設(shè)計(jì)控制電路時(shí),應(yīng)正 確處理各個(gè)信號(hào)之間的時(shí)序關(guān)系。當(dāng)啟動(dòng)開(kāi)關(guān)閉合(低電平)時(shí),計(jì)數(shù)器完成置數(shù)功能,譯碼顯示電路顯示30s字樣;當(dāng)啟動(dòng)開(kāi)關(guān)斷開(kāi)(高電平)、暫停/連續(xù)控制開(kāi)關(guān)也斷開(kāi)(高電平)時(shí),計(jì)數(shù)器開(kāi)始計(jì)數(shù);暫停/連續(xù)控制開(kāi)關(guān)

6、閉合(低電平)時(shí),計(jì) 數(shù)器停止計(jì)數(shù),暫停/連續(xù)控制開(kāi)關(guān)再斷開(kāi)(高電平)時(shí),繼續(xù)累計(jì)計(jì)數(shù)。計(jì)數(shù)器遞減到零時(shí),發(fā)出聲光電報(bào)警信號(hào)。另外,外部操作開(kāi)關(guān)應(yīng)采取去抖動(dòng)措施,以防 止機(jī)械抖動(dòng)造成電路工作不穩(wěn)定?;@球30秒計(jì)時(shí)器總體方案框圖如圖3-3所示:三、課程設(shè)計(jì)報(bào)告要求1系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2 各功能模塊設(shè)計(jì)說(shuō)明及源程序。3設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。4 實(shí)驗(yàn)結(jié)果、討論及心得體會(huì)。圖3-3籃球30秒計(jì)時(shí)器總體方案框圖外部操作開(kāi)關(guān)3. 3汽車尾燈控制電路一、設(shè)計(jì)要求假設(shè)汽車尾燈左右兩側(cè)各有3個(gè)指示燈(可用發(fā)光二極管模擬):1汽車正常運(yùn)行時(shí)指示燈全滅。2. 右轉(zhuǎn)彎時(shí),右側(cè) 3

7、個(gè)指示燈按右循環(huán)點(diǎn)亮。3. 左轉(zhuǎn)彎時(shí),右側(cè) 3個(gè)指示燈按左循環(huán)點(diǎn)亮。4. 臨時(shí)剎車時(shí),所有指示燈隨著時(shí)鐘脈沖同步閃爍。二、系統(tǒng)功能描述根據(jù)設(shè)計(jì)要求,汽車尾燈控制電路應(yīng)包括模式控制電路、三進(jìn)制計(jì)數(shù)器、譯碼器 和顯示驅(qū)動(dòng)等四部分電路組成。系統(tǒng)在運(yùn)行狀態(tài)模式控制下,用三進(jìn)制計(jì)數(shù)器控制譯碼 器電路順序輸出高電平,從而控制汽車尾燈按要求點(diǎn)亮。汽車尾燈控制電路的總體設(shè)計(jì)方案參考框圖如圖3-4所示:圖3-4汽車尾燈控制電路總體方案框圖三、課程設(shè)計(jì)報(bào)告要求1. 系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2. 各功能模塊設(shè)計(jì)說(shuō)明及源程序。3. 設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。4. 實(shí)驗(yàn)結(jié)果、討論及心得體會(huì)。

8、3. 4交通燈控制電路一、設(shè)計(jì)要求設(shè)計(jì)一個(gè)十字路口交通燈控制器,以指揮車輛順利、安全、暢通地通過(guò)十字路口。 其中,紅燈亮,表示該條道路禁止通行;黃燈亮,表示停車;綠燈亮,表示該條道路允 許通行。二、系統(tǒng)功能描述根據(jù)設(shè)計(jì)要求,交通燈控制器的系統(tǒng)功能如下:1控制器在南北方向各有紅、黃、綠三盞燈,其工作方式有些是并行進(jìn)行的:南 北方向綠燈亮,東西方向紅燈亮;南北方向黃燈亮,東西方向紅燈亮;南北方向紅燈亮, 東西方向綠燈亮;南北方向紅燈亮,東西方向黃燈亮;。2 兩個(gè)方向的工作時(shí)序?yàn)椋?。東西方向亮紅燈時(shí)間應(yīng)等于南北方向亮黃、綠燈時(shí)間 之和;南北方向亮紅燈時(shí)間應(yīng)等于東西方向亮黃、綠燈時(shí)間之和。3十字路口應(yīng)

9、有數(shù)字顯示作為時(shí)間提示,便于人們更直觀地把握時(shí)間。系統(tǒng)要求: 綠、黃、紅燈點(diǎn)亮?xí)r間分別為 20秒、4秒、24秒;數(shù)字顯示作減“ 1 ”計(jì)數(shù)顯示。4. 可以進(jìn)行手動(dòng)/自動(dòng)控制,即開(kāi)關(guān)在手動(dòng)位置時(shí),可使交通燈處于某一位置上; 開(kāi)關(guān)在自動(dòng)位置時(shí),則交通燈按自動(dòng)循環(huán)工作方式運(yùn)行。夜間為黃燈閃爍。5. 與控制器配合使用的汽車模擬運(yùn)行控制電路,如圖3-5所示:圖3-5汽車模擬運(yùn)行控制電路三、課程設(shè)計(jì)報(bào)告要求1. 系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2. 各功能模塊設(shè)計(jì)說(shuō)明及源程序。3. 設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。4. 實(shí)驗(yàn)結(jié)果、討論及心得體會(huì)。3. 5數(shù)字密碼鎖電路一、設(shè)計(jì)要求設(shè)計(jì)一個(gè)簡(jiǎn)易

10、的數(shù)字密碼鎖電路,該鎖應(yīng)在收到3位與規(guī)定碼相符的十進(jìn)制數(shù)碼時(shí)打開(kāi),使相應(yīng)指示燈點(diǎn)亮;若收到代碼與規(guī)定不符或者開(kāi)鎖程序有誤,表示錯(cuò)誤的指示 燈點(diǎn)亮。二、系統(tǒng)功能描述1. 系統(tǒng)接通電源后,首先按動(dòng)SETUP鍵后方投入運(yùn)行。運(yùn)行時(shí)標(biāo)志開(kāi)門的指示燈和警報(bào)燈、鈴皆不工作,系統(tǒng)處于安鎖狀態(tài)。2開(kāi)鎖代碼是 3位十進(jìn)制數(shù),可按照用戶的意愿調(diào)定。代碼不足3位或超出3位時(shí)均不能開(kāi)鎖。3開(kāi)鎖程序由沒(méi)計(jì)者確定,用戶必須嚴(yán)格執(zhí)行所規(guī)定的程序,方可開(kāi)鎖。4開(kāi)鎖代碼和程序正確,表示數(shù)字鎖打開(kāi)的指示燈點(diǎn)亮。5允許用戶在開(kāi)鎖過(guò)程中有1次錯(cuò)誤(輸入代碼錯(cuò)誤或開(kāi)鎖程序錯(cuò)誤),只要出錯(cuò),表示錯(cuò)誤的指示燈必定點(diǎn)亮。如果有兩次錯(cuò)誤,則報(bào)

11、警器一一喇叭鳴叫, 以示情況異常。6. 開(kāi)鎖程序?yàn)椋?1) 按啟動(dòng)鍵(START)啟動(dòng)開(kāi)鎖程序,此時(shí)系統(tǒng)內(nèi)部分應(yīng)處于初始狀態(tài)。(2) 依次鍵入3個(gè)十進(jìn)制碼。(3) 按開(kāi)門鍵(OPEN)準(zhǔn)備開(kāi)門。若按上述程序執(zhí)行且拔號(hào)正確,則開(kāi)門繼電器工作,綠燈亮;若密碼輸入錯(cuò) 誤或未按上述程序執(zhí)行,則按動(dòng)開(kāi)門鍵(OPEN)后警報(bào)裝置鳴叫(單頻),紅燈亮。(4) 開(kāi)鎖事務(wù)處理完畢后,應(yīng)將門關(guān)上,按SETUP鍵,使系統(tǒng)重新進(jìn)入安鎖狀態(tài)。(若在報(bào)警,按 SETUP或START均不起作用,應(yīng)另用一內(nèi)部的I-SETUP鍵才能使系統(tǒng)進(jìn)入安鎖狀態(tài))。(5) 若按錯(cuò)號(hào)碼,可在按OPEN鍵之前按START鍵重新啟動(dòng)開(kāi)鎖程序。6

12、.號(hào)碼09、START、OPEN均用按鍵產(chǎn)生,并均有消抖和同步化電路。 數(shù)字密碼鎖框圖如圖3-6所示。三、課程設(shè)計(jì)報(bào)告要求1 系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2 各功能模塊設(shè)計(jì)說(shuō)明及源程序。3設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。4 實(shí)驗(yàn)結(jié)果、討論及心得體會(huì)。圖3-6數(shù)字密碼鎖框圖3. 6模擬乒乓球游戲機(jī)一、設(shè)計(jì)要求設(shè)計(jì)一個(gè)供A與B兩人做模擬乒乓球游戲用的電路:其中A方與B方各持一個(gè)按鈕開(kāi)關(guān),作為擊球用的乒乓球拍,有若干個(gè)光點(diǎn)作為乒乓球運(yùn)動(dòng)的軌跡,且游 戲機(jī)在運(yùn)行過(guò)程中應(yīng)具有實(shí)際乒乓球比賽的基本規(guī)則。二、系統(tǒng)功能描述根據(jù)設(shè)計(jì)要求,本機(jī)應(yīng)具有下述功能。1. 每局比賽開(kāi)始前,裁判按動(dòng)每局開(kāi)

13、始發(fā)球開(kāi)關(guān),決定由其中一方首先發(fā)球,乒乓球光點(diǎn)即出現(xiàn)在發(fā)球者一方的球拍位置上,電路處于待發(fā)球狀態(tài)。2. 能自動(dòng)判球記分。只要一方失球,對(duì)方記分牌上則自動(dòng)加1分。在比分未達(dá)到10 : 10之前,當(dāng)一方記分達(dá)11分時(shí),即告勝利,該局比賽結(jié)束;若比分達(dá)到10 : 10以后,只有一方凈勝 2分時(shí),方告勝利。3能自動(dòng)判發(fā)球。每球比賽結(jié)束,機(jī)器自動(dòng)置電路于下一球的待發(fā)球狀態(tài)。 每方連續(xù)發(fā)球 2次后,自動(dòng)交換發(fā)球。當(dāng)比分達(dá)10 : 10以后,將每次輪換發(fā)球,直至比賽結(jié)束。4. 球拍按鈕開(kāi)關(guān)在球的一個(gè)來(lái)回中,只有第一次按動(dòng)才起作用;若再次按動(dòng) 或持續(xù)按下不松開(kāi),將無(wú)作用。在擊球時(shí),只有在球的光點(diǎn)移至擊球者一方

14、球拍位置時(shí),第一次按動(dòng)擊球按鈕,擊球才有效。5. 機(jī)器能產(chǎn)生若干種不同球速,擊球者在擊球有效的時(shí)間內(nèi)不同時(shí)刻擊球,球運(yùn)動(dòng)的速度將不相同。由此,整個(gè)游戲機(jī)電路應(yīng)由五部分組成:1乒乓球球跡移動(dòng)與顯示電路電路功能(1) 每球開(kāi)始前, 球光點(diǎn)應(yīng)出現(xiàn)在發(fā)球者一方的球拍位置上, 處于待發(fā)球狀態(tài);(2) 在擊球有效信號(hào)作用下,球跡應(yīng)每次按不同移動(dòng)速度往返移動(dòng);(3) 一旦球光點(diǎn)從一方消失,即告失球,輸出失球信號(hào),給對(duì)方記1分。2一次擊球有效電路乒乓球擊球動(dòng)作是通過(guò)按動(dòng)按鈕開(kāi)關(guān)A或B來(lái)實(shí)現(xiàn)的。根據(jù)課題要求,當(dāng)球向一方運(yùn)動(dòng)過(guò)程中, 該方只有第一次按動(dòng)按鈕才起作用, 且與按動(dòng)的時(shí)間長(zhǎng)短無(wú)關(guān)。 只有當(dāng)球的光跡移到擊

15、球者球拍位置(即第 1位或第 10位 )時(shí),第一次按動(dòng)按鈕開(kāi)關(guān),才能擊回乒乓球,稱為擊球有效,輸出擊球有效信號(hào);否則光跡將繼續(xù)前進(jìn), 通過(guò)第 0位或第 11位后消失,輸出失球信號(hào)。每球開(kāi)始前,判發(fā)球電路應(yīng)使本電路處于發(fā)球一方的待發(fā)球狀態(tài)。3換發(fā)球電路、判球記分與獲勝電路電路功能(1) 一方失球后,失球信號(hào)使對(duì)方記分。(2) 在比分未達(dá)到 10: 10之前,每方連續(xù)發(fā)球2次后,輸出換發(fā)球信號(hào),當(dāng)一方記分達(dá)到 11分時(shí),即獲勝。在比分達(dá)到10: 10以后,每記 1分,輸出一個(gè)換發(fā)球信號(hào),實(shí)現(xiàn)每次輪換發(fā)球,當(dāng)方凈勝2分時(shí)即獲勝。一旦比賽一方獲勝,即點(diǎn)亮獲勝指示燈,并輸出比賽終止信號(hào)。(3) 每局比賽

16、開(kāi)始前,在局置始信號(hào)作用下,所有計(jì)數(shù)電路均置0,恢復(fù)到始態(tài)。4球速變化電路電路功能(1) 決定球運(yùn)動(dòng)速度的是時(shí)鐘信號(hào)的工作周期,時(shí)鐘信號(hào)經(jīng)過(guò)4分頻后,輸出信號(hào)控制球跡移動(dòng)電路的CP端,故CP端一個(gè)脈沖周期包含時(shí)鐘信號(hào)4個(gè)周期(稱為4 個(gè)節(jié)拍 ),每一個(gè)光點(diǎn)在一個(gè)位置上停留時(shí)間也是4個(gè)節(jié)拍。(2) 在不同節(jié)拍擊球 (即輸出擊球有效信號(hào))時(shí),由于頻率不同,使球的運(yùn)動(dòng)速度也不同。(3) 一旦一方獲勝,時(shí)鐘信號(hào)終止,則比賽終止。5置始與判發(fā)球電路電路功能(1) 每局比賽開(kāi)始前,按動(dòng)局開(kāi)始判發(fā)球開(kāi)關(guān),確定發(fā)球者,并輸出局置始信 號(hào),使機(jī)器處于待發(fā)球狀態(tài),并把各計(jì)數(shù)器清零。(2) 每球結(jié)束,在失球信號(hào)作用

17、下經(jīng)過(guò)適當(dāng)時(shí)間的延遲,輸出置始信號(hào),預(yù)置 下一球的待發(fā)球狀態(tài)。三、課程設(shè)計(jì)報(bào)告要求1系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2各功能模塊設(shè)計(jì)說(shuō)明及源程序。3設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。 4實(shí)驗(yàn)結(jié)果、討論及心得體會(huì)。3. 7智力競(jìng)賽搶答器一、設(shè)計(jì)要求設(shè)計(jì)一個(gè)用于智力競(jìng)賽的多路搶答器。二、系統(tǒng)功能描述1 具有多路搶答,該設(shè)計(jì)要求搶答組數(shù)為4組。2 具有搶答在開(kāi)始后倒計(jì)時(shí)并顯示的功能,倒計(jì)時(shí)時(shí)間到無(wú)人搶答,同時(shí) 發(fā)出聲、光報(bào)警信號(hào)。3 系統(tǒng)復(fù)位后進(jìn)入搶答狀態(tài),當(dāng)有一路搶答按鍵按下,該路搶答信號(hào)應(yīng)將 其余搶答信號(hào)封鎖,同時(shí)鈴聲響起,顯示牌顯示該路搶答組號(hào)。對(duì)于未進(jìn)入搶答 狀態(tài)按鍵時(shí)為犯規(guī),此

18、時(shí)應(yīng)顯示犯規(guī)組號(hào)并報(bào)警。4 具有答題時(shí)間限制倒計(jì)時(shí)顯示,答題時(shí)間到,同時(shí)發(fā)出聲、光報(bào)警信號(hào)。5. 采用動(dòng)態(tài)掃描顯示。4組智力競(jìng)賽搶答器總體方案框圖如圖3-8所示。Group 1Group 2Group 3Group 4 reset start圖3-8 4組智力競(jìng)賽總體方案框圖三、課程設(shè)計(jì)報(bào)告要求1 系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2 各功能模塊設(shè)計(jì)說(shuō)明及源程序。3 設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。4 實(shí)驗(yàn)結(jié)果、討論及心得體會(huì)。3. 8 8位數(shù)字頻率計(jì)一、設(shè)計(jì)要求設(shè)計(jì)一個(gè)8位數(shù)字頻率計(jì):可以測(cè)量從 1Hz到99 999 999 Hz的信號(hào)頻率,并將被 測(cè)信號(hào)的頻率在8個(gè)數(shù)碼管上顯示

19、出來(lái)。二、系統(tǒng)功能描述采用一個(gè)標(biāo)準(zhǔn)的基準(zhǔn)時(shí)鐘,在單位時(shí)間(如1s)里對(duì)被測(cè)信號(hào)的脈沖數(shù)進(jìn)行計(jì)數(shù),即為信號(hào)的頻率。8位數(shù)字頻率計(jì)邏輯框圖如圖 3-9所示。圖3-9 8位數(shù)字頻率計(jì)邏輯框圖三、課程設(shè)計(jì)報(bào)告要求1 系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2 各功能模塊設(shè)計(jì)說(shuō)明及源程序。3 設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。4 實(shí)驗(yàn)結(jié)果、討論及心得體會(huì)。3. 9序列檢測(cè)器一、設(shè)計(jì)要求設(shè)計(jì)一個(gè)序列檢測(cè)器:檢測(cè)器連續(xù)收到一組串行碼(如1110010)后,檢測(cè)標(biāo)志輸出為“1”;否則,輸出為“ o”。要求同時(shí)設(shè)計(jì)一個(gè)可置數(shù)的序列信號(hào)發(fā)生器。二、系統(tǒng)功能描述根據(jù)設(shè)計(jì)要求,檢測(cè)器每收到一個(gè)符合要求的串行碼就需

20、要一個(gè)狀態(tài)進(jìn)行記憶。串行碼長(zhǎng)度為7位,需要7個(gè)狀態(tài);另外,還需要一個(gè)初始狀態(tài),共8個(gè)狀態(tài)。該序列檢測(cè)器系統(tǒng)顯然是一個(gè)莫爾狀態(tài)機(jī),其狀態(tài)轉(zhuǎn)移圖如圖3-10所示。圖3-10序列檢測(cè)器狀態(tài)轉(zhuǎn)移圖三、課程設(shè)計(jì)報(bào)告要求1 系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2 各功能模塊設(shè)計(jì)說(shuō)明及源程序。3 設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。4 實(shí)驗(yàn)結(jié)果、討論及心得體會(huì)。3. 10快速加法器一、設(shè)計(jì)要求設(shè)計(jì)一個(gè)8位的加法器。要求用“非流水線結(jié)構(gòu)”和“流水線結(jié)構(gòu)”分別進(jìn)行設(shè)計(jì), 并對(duì)比其優(yōu)缺點(diǎn)。二、系統(tǒng)功能描述1 非流水線結(jié)構(gòu):先設(shè)計(jì)一個(gè)四位并行加法器模塊,再由兩個(gè)四位加法器模塊實(shí) 現(xiàn)8位加法器的功能。2 流水線結(jié)構(gòu):流水線設(shè)計(jì)的概念是把在一個(gè)周期執(zhí)行的邏輯操作分成幾步較小的操作,并在多 個(gè)較高速的時(shí)鐘內(nèi)完成。三、課程設(shè)計(jì)報(bào)告要求1 系統(tǒng)設(shè)計(jì)方案論述,畫(huà)出頂層電路結(jié)構(gòu)圖及功能分割圖。2 各功能模塊設(shè)計(jì)說(shuō)明及源程序。3 設(shè)計(jì)實(shí)現(xiàn)過(guò)程及引腳鎖定情況。4 實(shí)驗(yàn)結(jié)果、討論及心得體會(huì)。3. 11移位乘法器一

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論