版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、課程設(shè)計(jì)說明書 no.1 rom的vhdl設(shè)計(jì)與仿真 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.2 目 錄1、課程設(shè)計(jì)的目的.12、設(shè)計(jì)方案論證 .23、課程設(shè)計(jì)的程序編寫 .44、設(shè)計(jì)結(jié)果與分析 .65、心得體會(huì).136、參考文獻(xiàn).14 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.11.課程設(shè)計(jì)的目的 ic設(shè)計(jì)基礎(chǔ)課程設(shè)計(jì)是學(xué)習(xí)ic設(shè)計(jì)基礎(chǔ)課程之后的實(shí)踐教學(xué)環(huán)節(jié)。 根據(jù)課堂講授內(nèi)容,學(xué)生做相應(yīng)的自主練習(xí),消化課堂所講解的內(nèi)容;通過調(diào)試典型例題或習(xí)題積累調(diào)試電路程序的經(jīng)驗(yàn);通過完成輔導(dǎo)教材中的編程題,逐漸培養(yǎng)學(xué)生的編程能力、用計(jì)算機(jī)解決實(shí)際問題的能力。其目的是訓(xùn)練學(xué)生綜合運(yùn)用學(xué)過的ic設(shè)計(jì)技術(shù)的基礎(chǔ)知識(shí),通
2、過解決比較簡(jiǎn)單的實(shí)際問題鞏固和加深在ic設(shè)計(jì)基礎(chǔ)課程中所學(xué)的理論知識(shí)和實(shí)驗(yàn)技能。獨(dú)立完成查找資料,選擇方案,設(shè)計(jì)電路,安裝調(diào)試,分析結(jié)果,撰寫報(bào)告等工作。使學(xué)生進(jìn)一步理解所學(xué)本課程的內(nèi)容,初步掌握模擬電子電路設(shè)計(jì)的一般方法步驟,通過理論聯(lián)系實(shí)際提高和培養(yǎng)學(xué)生。并理論聯(lián)系實(shí)際提高和培養(yǎng)學(xué)生的分析、解決實(shí)際問題的能力,創(chuàng)新能力及動(dòng)手能力,為后續(xù)課程的學(xué)習(xí)、畢業(yè)設(shè)計(jì)、畢業(yè)后的工作打下基礎(chǔ)。在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固,通過課程設(shè)計(jì),把以前所學(xué)過的知識(shí)重新溫故,鞏固了所學(xué)的知識(shí)。 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.22.設(shè)計(jì)方案論證2.1軟件介
3、紹 max+plus是altera公司提供的fpga/cpld開發(fā)集成環(huán)境,altera是世界上最大可編程邏輯器件的供應(yīng)商之一。max+plus界面友好,使用便捷,被譽(yù)為業(yè)界最易用易學(xué)的eda軟件。在max+plus上可以完成設(shè)計(jì)輸入、元件適配、時(shí)序仿真和功能仿真、編程下載整個(gè)流程,它提供了一種與結(jié)構(gòu)無關(guān)的設(shè)計(jì)環(huán)境,是設(shè)計(jì)者能方便地進(jìn)行設(shè)計(jì)輸入、快速處理和器件編程。max+plus開發(fā)系統(tǒng)的特點(diǎn):1、開放的界面,max+plus支持與cadence,exemplarlogic,mentor graphics,synplicty,viewlogic和其它公司所提供的eda工具接口。2、與結(jié)構(gòu)無關(guān)
4、,max+plus系統(tǒng)的核心complier支持altera公司的flex10k、flex8000、flex6000、max9000、max7000、max5000和classic可編程邏輯器件,提供了世界上唯一真正與結(jié)構(gòu)無關(guān)的可編程邏輯設(shè)計(jì)環(huán)境。3、完全集成化,max+plus的設(shè)計(jì)輸入、處理與較驗(yàn)功能全部集成在統(tǒng)一的開發(fā)環(huán)境下,這樣可以加快動(dòng)態(tài)調(diào)試、縮短開發(fā)周期。4、豐富的設(shè)計(jì)庫(kù),max+plus提供豐富的庫(kù)單元供設(shè)計(jì)者調(diào)用,其中包括74系列的全部器件和多種特殊的邏輯功能(macro-function)以及新型的參數(shù)化的兆功能(mage-function)。5、模塊化工具,設(shè)計(jì)人員可以從各
5、種設(shè)計(jì)輸入、處理和較驗(yàn)選項(xiàng)中進(jìn)行選擇從而使設(shè)計(jì)環(huán)境用戶化。6、硬件描述語(yǔ)言(hdl),max+plus軟件支持各種hdl設(shè)計(jì)輸入選項(xiàng),包括vhdl、verilog hdl和altera自己的硬件描述語(yǔ)言ahdl。7、opencore特征,max+plus軟件具有開放核的特點(diǎn),允許設(shè)計(jì)人員添加自己認(rèn)為有價(jià)值的宏函數(shù)。2.2rom概述 在數(shù)字電路中,存儲(chǔ)器是一種能夠存儲(chǔ)大量二進(jìn)制信息的邏輯電路,用來構(gòu)成數(shù)字電路或數(shù)字系統(tǒng)中的存儲(chǔ)部分。對(duì)于存儲(chǔ)起來說,由于存儲(chǔ)單元數(shù)目極大而器件的引腳數(shù)目有限,因此存儲(chǔ)器采用一種地址的方法來進(jìn)行存儲(chǔ)單元的訪問,這樣可以大大減低存儲(chǔ)器引腳的數(shù)目。一般可以將存儲(chǔ)器分為只讀
6、存 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.3儲(chǔ)器(rom),和隨機(jī)存儲(chǔ)器(ram)。rom按其性能不同可分為:rom,只讀內(nèi)存(read-only memory)是一種只能讀取資料的內(nèi)存;prom可編程程序只讀內(nèi)存(programmable rom,prom)之內(nèi)部有行列式的镕絲,視需要利用電流將其燒斷,寫入所需的資料,但僅能寫錄一次;.eprom,可抹除可編程只讀內(nèi)存(erasable programmable read only memory,eprom)可利用高電壓將資料編程寫入,抹除時(shí)將線路曝光于紫外線下,則資料可被清空,并且可重復(fù)使用;eeprom ,電子式可抹除可編程只讀內(nèi)存(ele
7、ctrically erasable programmable read only memory,eeprom)之運(yùn)作原理類似eprom,但是抹除的方式是使用高電場(chǎng)來完成,因此不需要透明窗。只讀存儲(chǔ)器在正常工作時(shí)從中讀取數(shù)據(jù),不能快速地修改或重新寫入數(shù),適用于存儲(chǔ)固定數(shù)據(jù)的場(chǎng)合。下圖是一個(gè)rom存儲(chǔ)的例子,該rom有4位地址線addr(0)addr(3),8位數(shù)據(jù)輸出線dataout(0)dataout(7)及使能ce,如圖1所示。圖1 rom原理圖 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.4表1 rom端口說明端口寬度方向說明ce1輸入控制引腳addr3.04輸入從處理器來的地址dataout7
8、.08輸出輸出到rom的地址信號(hào) 本次課程設(shè)計(jì)設(shè)計(jì)的是尋址存儲(chǔ)器設(shè)計(jì):16*8位rom2.3源程序library ieee;use ieee.std_logic_1164.all;entity rom is port(dataout:out std_logic_vector(7 downto 0); addr:in std_logic_vector(3 downto 0); ce:in std_logic);end entity;architecture d of rom is signal id:std_logic_vector(4 downto 0);begin id=addr & ce;
9、dataout按鈕,選擇要仿真的引腳。單擊ok按鈕,列出仿真電路的輸入輸出引腳圖。 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.10圖9 添加rom仿真引腳 添加好輸入、輸出引腳后會(huì)出現(xiàn)如圖10所示的引腳圖,再對(duì)此圖中的輸入引腳按照源程序的要求進(jìn)行初始化。輸入引腳設(shè)置好后就可以進(jìn)行仿真。圖10 rom各引腳圖 仿真前將此文件置頂,即點(diǎn)擊菜單欄中file選項(xiàng),選擇其中的project,再選擇下一級(jí)選項(xiàng)中的“set project to current file”即可實(shí)現(xiàn)置頂操作。然后進(jìn)行仿真,單擊菜單欄中的max+plusii菜單,選擇其中的simulator選項(xiàng)進(jìn)行仿真。仿真過程如果準(zhǔn)確無誤則出現(xiàn)如圖
10、11所示的對(duì)話框。 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.11圖11 仿真過程圖 將此對(duì)話框關(guān)閉,觀察波形仿真圖的仿真結(jié)果,如圖12所示。觀察其中仿真過后的輸出引腳是否與源程序給出的結(jié)果一致,如果仿真結(jié)果與源程序不一致則仿真出錯(cuò)或輸入引腳設(shè)置有誤,如果仿真結(jié)果與源程序一致則輸入引腳初始化和仿真過程都正確。圖12 輸入、輸出引腳仿真結(jié)果圖 如果將輸入引腳組按照源程序設(shè)置一個(gè)十進(jìn)制值,進(jìn)行仿真則會(huì)出現(xiàn)如圖13所示仿真結(jié)果,結(jié)果為十六進(jìn)制數(shù)。可以將此結(jié)果轉(zhuǎn)換為二進(jìn)制數(shù)來判斷仿真是否正確。 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.12圖13 輸入引腳為十進(jìn)制的仿真結(jié)果圖由運(yùn)行結(jié)果可知,輸入端addr輸入數(shù)據(jù)
11、,經(jīng)max+plusii軟件進(jìn)行運(yùn)行仿真后得到輸出端結(jié)果,經(jīng)驗(yàn)證后仿真結(jié)果正確。本次課程設(shè)計(jì)實(shí)現(xiàn)了對(duì)16*8位rom的設(shè)計(jì)及仿真。該rom有4位地址線addr(0)addr(3),8位數(shù)據(jù)輸出線dataout(0)dataout(7)及使能端ce,且ce為低電平有效。 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.134. 設(shè)計(jì)體會(huì) 通過這次ic設(shè)計(jì)基礎(chǔ)技術(shù)課程設(shè)計(jì),加強(qiáng)了我們動(dòng)手能力,提高了我們的思考和解決問題的思維。此次設(shè)計(jì)我們選用的是max+plusii軟件,在整個(gè)設(shè)計(jì)過程中整個(gè)小組的同學(xué)團(tuán)結(jié)一致和互幫互助,遇到了很多問題,有時(shí)心里想著這樣的接法可以行得通,但實(shí)際進(jìn)行運(yùn)行仿真時(shí),總是實(shí)現(xiàn)不了,但最
12、終通過我們自己的努力解決了這些問題,我們受益匪淺。做課程設(shè)計(jì)同時(shí)也是對(duì)課本知識(shí)的鞏固和加強(qiáng),由于課本上的知識(shí)太多,平時(shí)課間的學(xué)習(xí)并不能很好的理解和運(yùn)用各個(gè)元件的功能,而且考試內(nèi)容有限,所以在這次課程設(shè)計(jì)過程中,我們了解了很多元件的功能,并且對(duì)于其在電路中的使用有了更多的認(rèn)識(shí)。平時(shí)看課本時(shí),有時(shí)問題老是弄不懂,做完課程設(shè)計(jì),那些問題就迎刃而解了。而且還可以記住很多東西,比如一些引腳的初始化過程,通過動(dòng)手實(shí)踐讓我們對(duì)各個(gè)元件映象深刻。認(rèn)識(shí)來源于實(shí)踐,實(shí)踐是認(rèn)識(shí)的動(dòng)力和最終目的,實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。所以這個(gè)期末測(cè)試之后的課程設(shè)計(jì)對(duì)我們的作用是非常大的。通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合
13、是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固。同時(shí),我也學(xué)到了很多課內(nèi)學(xué)不到的東西,比如獨(dú)立思考解決問題,出現(xiàn)差錯(cuò)的隨機(jī)應(yīng)變,和與人合作共同提高。在這次數(shù)字電子課程設(shè)計(jì)過程中,我們學(xué)會(huì)使用max+plusii軟件設(shè)計(jì)電路并運(yùn)行仿真,讓我們的動(dòng)手能力得到很大的提高,增加了我們的學(xué)習(xí)興趣。 沈 陽(yáng) 大 學(xué)課程設(shè)計(jì)說明書 no.145. 參考文獻(xiàn)1任艷穎,王彬.ic設(shè)計(jì)基礎(chǔ)m.西安:西安電子科技大學(xué)出版社. 2006.5:249258.2譚會(huì)生,張昌凡.eda技術(shù)及應(yīng)用m.西安:西
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024副食品保障供應(yīng)合同
- 農(nóng)產(chǎn)品采購(gòu)合作協(xié)議書
- 社區(qū)物業(yè)管理服務(wù)合同
- 小額民間借款合同范本
- 建筑行業(yè)材料購(gòu)銷協(xié)議模板
- 2023年高考地理復(fù)習(xí)精題精練-區(qū)域發(fā)展對(duì)交通運(yùn)輸布局的影響(解析版)
- 2024年售房的合同范本
- 建筑工地物資租賃合同書
- 房產(chǎn)抵押擔(dān)保協(xié)議參考
- 2024年勞務(wù)協(xié)議書樣本
- 企業(yè)如何利用新媒體做好宣傳工作課件
- 如何培養(yǎng)孩子的自信心課件
- 中醫(yī)藥膳學(xué)全套課件
- 頸脊髓損傷-匯總課件
- 齒輪故障診斷完美課課件
- 2023年中國(guó)鹽業(yè)集團(tuán)有限公司校園招聘筆試題庫(kù)及答案解析
- 大班社會(huì)《特殊的車輛》課件
- 野生動(dòng)物保護(hù)知識(shí)講座課件
- 早教托育園招商加盟商業(yè)計(jì)劃書
- 光色變奏-色彩基礎(chǔ)知識(shí)與應(yīng)用課件-高中美術(shù)人美版(2019)選修繪畫
- 前列腺癌的放化療護(hù)理
評(píng)論
0/150
提交評(píng)論