基于單片機的遠程監(jiān)控系統(tǒng)_第1頁
基于單片機的遠程監(jiān)控系統(tǒng)_第2頁
基于單片機的遠程監(jiān)控系統(tǒng)_第3頁
基于單片機的遠程監(jiān)控系統(tǒng)_第4頁
基于單片機的遠程監(jiān)控系統(tǒng)_第5頁
已閱讀5頁,還剩38頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

1、大學科技與藝術(shù)學院本科畢業(yè)設計論文基于單片機的遠程監(jiān)護系統(tǒng)姓 名: 指導老師: _專 業(yè): 班 級: 學 號: _摘 要在新生嬰兒在沒人照看時采用監(jiān)視和控制報警系統(tǒng)可以對寶寶爬出床、擔心有人或者動物騷擾寶寶使用?;贏T89S51單片機的控制系統(tǒng)包括四部分:數(shù)據(jù)采集、控制系統(tǒng)和報音提示信E-電路。該系統(tǒng)采用單片機進行控制,結(jié)構(gòu)簡單,還可進行多種功能的擴展,如實現(xiàn)多機通訊,對更大的場合進行監(jiān)控與管理等。監(jiān)控報警系統(tǒng)這時正為人們解決了不少問題.但是市場上的報警器大部分都是用于一些大公司財政機構(gòu)。價格高昂,一般人們難以接受。如果再設計和生產(chǎn)一種價廉、性能靈敏可靠的報警器,必將在防盜和保證財產(chǎn)安全方面

2、發(fā)揮更加有效的作用。由于紅外線是不見光,有很強的隱蔽性和保密性,因此在防盜、警戒等安保裝置中得到了廣泛的應用,此外,在電子防盜、人體探測等領域中,被動式熱釋電紅外探測器也以其價格低廉、技術(shù)性能穩(wěn)定等特點而受到廣大用戶和專業(yè)人士的歡迎?;趩纹瑱C控制的熱釋電紅外報警,將檢測到人體紅外信號轉(zhuǎn)換成電壓信號,經(jīng)調(diào)理電路整形處理為TTL電平送入單片機,單片機對送入信號進行判別,是哪一路報警信號,發(fā)出音響報警并通過數(shù)碼管顯示報警位置。適用于家庭、辦公室、倉庫、實驗室等比較重要場合防盜報警。關鍵詞:Protel 99se,原理圖設計、紅外線報警、傳感技術(shù)、單片機AbstractNo one in the c

3、are of newborn infants when the alarm system used to monitor and control the baby can climb out of bed for fear of harassment was the baby or animal use. AT89S51 Microcontroller based control system consists of four parts: data acquisition, control systems and reporting voice prompts letter. E-circu

4、it. The system uses a microcontroller to control, simple structure, but also for the expansion of a variety of functions, such as multi-machine communication, on the occasion of greater monitoring and management. Alarm time is for people to solve many problems. But the alarm on the market for some b

5、ig companies are mostly financial institutions. Expensive, generally it is difficult to accept. If the re-design and production of a cheap, sensitive and reliable performance of the alarm, will ensure the property in the theft and play a more effective role. Is not seen as infrared light, has a stro

6、ng hidden and confidentiality, and therefore security, guard and other security devices have been widely used, in addition, in electronic security, in areas such as human detection, passive pyroelectric infrared detectors also known for its low price, technology and stable performance by the majorit

7、y of users and professionals welcome. Microcomputer Control of pyroelectric infrared alarm, infrared signals to detect the human body into a voltage signal, the conditioning circuit for the TTL-levelplastic handle into the microcontroller, the microcontroller into the signal of discrimination, is wh

8、at alarm signal audible Alarm and alarm location via digital display. For home, office, warehouse, laboratory and other more important occasions, anti-theft alarm.朗讀顯示對應的拉丁字符的拼音字典Keywords: Protel 99se;schematic design;infrared alarm; sensor technology; SCM目 錄摘 要Abstract第一章 緒 論11.1 研究的背景及意義11.2 研究現(xiàn)狀1

9、1.3 研究內(nèi)容和目標21.4 論文章節(jié)安排2第二章 系統(tǒng)的整體設計思路3第三章 系統(tǒng)的硬件設計53.1 系統(tǒng)硬件電路的選擇及說明53.2 具體電路模塊設計53.2.1調(diào)整電路的設計53.2.2時鐘電路的設計53.2.3復位電路的設計63.2.4 數(shù)碼管顯示報警電路的設計73.2.5 聲音報警電路的設計83.3 8051系列芯片中AT89S51簡介83.3.1 AT89S51主要功能列舉如下:93.3.2 AT89S51各引腳功能介紹:103.4 熱釋電紅外傳感結(jié)構(gòu)原理12第四章 系統(tǒng)軟件設計144.1 軟件簡介144.1.1 Proteus軟件簡介及使用144.1.2 Keil軟件簡介164

10、.2 軟件程序的實現(xiàn)18第五章 系統(tǒng)調(diào)試與仿真215.1 系統(tǒng)仿真215.2 軟件的調(diào)試225.2.1 測試P2.0口輸出225.2.2 測試LED顯示模塊22第六章 展望和總結(jié)24致 謝25參考文獻26附 錄28第一章 緒 論1.1 研究的背景及意義現(xiàn)代化居住格局使家庭生活的安全問題顯得尤為重要。當前,安全的防范及報警系統(tǒng)是確保住宅、住戶安全的重要保障。防盜的最好方法就是在不法分子有入侵企圖時就發(fā)出語音警告,增加其心理壓力,使其主動離開。家庭的安全,是每個人都重點關心的話題。尤其是有嬰兒獨處時,在以往監(jiān)護人忙家務總是擔驚受怕,都希望能時時刻刻照看。而如今報警監(jiān)控系統(tǒng),在忙家務的監(jiān)護人收到報警

11、后,實時掌握獨處現(xiàn)場的情況,為脆弱的嬰兒增添了一份安全。 本課題研究設計一種基于單片機的遠程監(jiān)控系統(tǒng)來實現(xiàn)以上目的,主要用于家庭等小單位的監(jiān)控.通過系統(tǒng)的有效擴展還可以增加防盜,防火,報警等功能。1.2 研究現(xiàn)狀從上個世紀90年代以來,隨著科學技術(shù)的迅速發(fā)展,人們的生產(chǎn)行為、生活方式都發(fā)生了重大的變化,作為生活生產(chǎn)中非常重要的一項技術(shù)即監(jiān)控技術(shù)1的重要性正在逐漸被人們所認識和重視。監(jiān)控系統(tǒng)的演變,是一個從集中監(jiān)控向網(wǎng)絡監(jiān)控的發(fā)展歷史。早期的監(jiān)控系統(tǒng),采用大型儀表集中對各個重要設備的狀態(tài)進行監(jiān)視,并通過操作盤來進行集中式操作。而計算機監(jiān)控系統(tǒng)是以監(jiān)測控制計算機為主體,加上檢測裝置、執(zhí)行機構(gòu)與被監(jiān)

12、測控制的對象(生產(chǎn)過程)共同構(gòu)成的整體。在該系統(tǒng)中,計算機實現(xiàn)了生產(chǎn)過程的檢測、監(jiān)督和控制功能。在現(xiàn)代企業(yè)的生產(chǎn)和管理中,大量的物理量、環(huán)境參數(shù)、工藝數(shù)據(jù)、特性參數(shù)需要進行實時檢測、監(jiān)督管理和自動控制。由于工業(yè)生產(chǎn)過程控制要求的高環(huán)境適應性、高實時性、和高可靠性等特點,自動控制與檢測技術(shù)一直沿著自己的道路發(fā)展,測控領域所使用的通信技術(shù)都自成體系,許多通信協(xié)議不開放,而且大多數(shù)系統(tǒng)都是面向單臺,或單一類型的設備。隨著生產(chǎn)力的進步,設備的分布越來越離散單一的,各自獨立的監(jiān)測系統(tǒng)已不能適應工業(yè)化的需求,于是便產(chǎn)生了分布式系統(tǒng)。這種系統(tǒng)以計算機網(wǎng)絡為基礎,使系統(tǒng)資源分配趨于合理。但是由于目前運行的絕大

13、多數(shù)分布式監(jiān)測系統(tǒng)還只是在局域網(wǎng)上,通常的測控僅局限于同一地點,所以具有一定的地域局限性。 internet能實現(xiàn)資源的共享,從而使人們有能力解決以前在極有限的資源下很難解決的問題,為遠程監(jiān)控系統(tǒng)的發(fā)展提供了有利的條件。遠程監(jiān)控是本地計算機通過網(wǎng)絡系統(tǒng)如internet/intranet,對遠端進行監(jiān)視和控制,完成對分散控制網(wǎng)絡的狀態(tài)監(jiān)控及設備的診斷維護等功能我們通常把能夠?qū)崿F(xiàn)遠程監(jiān)控的通信媒體、計算機軟件、硬件系統(tǒng)稱為遠程監(jiān)控系統(tǒng)。在現(xiàn)場設備分布廣泛或數(shù)據(jù)不易采集的場合,要能夠及時地監(jiān)視設備的運行狀態(tài)并進行有效控制,這就是遠程監(jiān)控技術(shù)在工業(yè)生產(chǎn)上的需求。1.3 研究內(nèi)容和目標本課題研究寶寶在

14、睡覺時,媽媽通常會擔心寶寶的安全問題,而要守在寶寶的旁邊,會限制媽媽們的活動,基于此可以利用系統(tǒng)在對如怕寶寶爬出床、有人或者動物騷擾寶寶等進行監(jiān)控報警6等處理?;贏T89S51單片機7的監(jiān)控與管理系統(tǒng)由于采用了單片機進行控制,大大簡化了外圍硬件電路的設計,系統(tǒng)結(jié)構(gòu)簡單。同時,該系統(tǒng)可以進行很多的擴展,如實現(xiàn)多機通訊。對更大的場合進行監(jiān)控8與管理等。本選題重點要解決的問題有:1、入侵檢測電路的設計與實現(xiàn);2、遠程控制電路的設計與實現(xiàn);3、報警電路的設計與實現(xiàn)。1.4 論文章節(jié)安排本文的章節(jié)安排如下:(1 )第一章為緒論,主要介紹課題的研究背景以及意義(2) 第二章介紹了整體研究設計思路。(3)

15、 第三章介紹了各個元器件。(4) 第四章介紹了具體軟件設計流程(5) 第五章系統(tǒng)調(diào)試仿真(6) 第六章結(jié)論與展望。第二章 系統(tǒng)的整體設計思路本設計包括硬件和軟件設計兩個部分。模塊劃分為數(shù)據(jù)采集、鍵盤控制、報警等子模塊。電路結(jié)構(gòu)可劃分為:熱釋電紅外傳感器3、報警器9、單片機控制電路、LED控制電路及相關的控制管理軟件組成。用戶終端完成信息采集、處理、數(shù)據(jù)傳送、功能設定、本地報警等功能。就此設計的核心模塊來說,單片機就是設計的中心單元,所以此系統(tǒng)也是單片機應用系統(tǒng)的一種應用。單片機應用系統(tǒng)也是有硬件和軟件組成。硬件包括單片機、輸入/輸出設備8、以及外圍應用電路等組成的系統(tǒng),軟件是各種工作程序的總稱

16、。單片機應用系統(tǒng)的研制過程包括總體設計、硬件設計、軟件設計等幾個階段。從設計的要求來分析該設計須包含如下結(jié)構(gòu):熱釋電紅外傳感探頭電路、報警電路、單片機、復位電路及相關的控制管理軟件組成;它們之間的構(gòu)成框圖如圖3總體設計框圖所示:系統(tǒng)整體設計框圖LED顯示發(fā)光驅(qū)動熱釋電紅外傳感裝置調(diào)整電路報警單片機AT89S51復位電路圖2.1 系統(tǒng)方案框圖這套被動式紅外探測無線報警系統(tǒng),總體設計思路是由探測發(fā)射電路和接收報警電路兩部分組成。探測發(fā)射電路通過熱釋電紅外探測器探測人體的紅外輻射信號,并經(jīng)過放大、編碼和發(fā)射等環(huán)節(jié),將人體的移動信號轉(zhuǎn)為電信號應用無線電技術(shù)發(fā)射出去;而接收報警電路則是通過對電信號解調(diào)、

17、譯碼和聲光報警等環(huán)節(jié),將電信號轉(zhuǎn)為聲音、光源信號,從而達到無線報警的目的。由于是畢業(yè)設計,在設計過程中要以電路原理為主題,因此在電路元件和模塊的選擇上盡量采用通用、基礎的元器件,避免采用大規(guī)模的集成電路來設計電路。第三章 系統(tǒng)的硬件設計 3.1 系統(tǒng)硬件電路的選擇及說明硬件電路的設計見附圖1,附圖2示,從以上的分析可知在本設計中要用到如下器件: AT89C51、熱釋電紅外傳感器、LED、發(fā)光二極管、蜂鳴器等一些單片機外圍應用電路。3.2 具體電路模塊設計3.2.1 調(diào)整電路的設計如圖3.1所示為最基本的調(diào)整電路,圖中1為輸出,接單片機的P0.7,P0.6輸入輸出口。圖3.1 調(diào)整電路電路圖3.

18、2.2 時鐘電路的設計XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時鐘源驅(qū)動器件,XTAL2應不接。因為一個機器周期含有6個狀態(tài)周期,而每個狀態(tài)周期為2個振蕩周期,所以一個機器周期共有12個振蕩周期,如果外接石英晶體振蕩器的振蕩頻率為12MHZ,一個振蕩周期為1/12us,故而一個機器周期為1us。如圖3.2所示為時鐘電路。圖3.2 時鐘電路圖3.2.3 復位電路的設計復位方法一般有上電自動復位和外部按鍵手動復位,單片機在時鐘電路工作以后, 在RESET端持續(xù)給出2個機器周期的高電平時就可以完成復位操作。例如使用晶

19、振頻率為12MHz時,則復位信號持續(xù)時間應不小于2us。該復位電路連接單片機的RESET引腳,如圖3.3示為復位電路。圖3.3 復位電路圖3.2.4 數(shù)碼管顯示報警電路的設計由2個數(shù)碼管接上電阻后連上單片的P0,P2輸入輸出口的引腳,外接VCC,當單片機的相應引腳被置低電平后,數(shù)碼管顯示相應的數(shù)字,起到報警作用。注:當P0口輸出0F9H時,數(shù)碼管DS1顯示數(shù)字1,當P2口輸出025H時,數(shù)碼管DS2顯示數(shù)字2。圖3.4所示為數(shù)碼管報警電路。圖3.4 發(fā)光二極管報警電路圖3.2.5 聲音報警電路的設計如下圖所示,用一個Speaker和三極管、電阻接到單片機的P2.0引腳上,構(gòu)成聲音報警電路,低電

20、平觸發(fā),如圖3.5示為聲音報警電路。圖3.5 聲音報警電路圖3.3 8051系列芯片中AT89S51簡介圖3.6 AT89S51引腳圖3.3.1 AT89S51主要功能列舉如下:1、為一般控制應用的 8 位單芯片2、晶片內(nèi)部具時鐘振蕩器(傳統(tǒng)最高工作頻率可至 12MHz)3、內(nèi)部程式存儲器(ROM)為 4KB4、內(nèi)部數(shù)據(jù)存儲器(RAM)為 128B5、外部程序存儲器可擴充至 64KB6、外部數(shù)據(jù)存儲器可擴充至 64KB7、32 條雙向輸入輸出線,且每條均可以單獨做 I/O 的控制8、5 個中斷向量源9、2 組獨立的 16 位定時器10、1 個全多工串行通信端口11、8751 及 8752 單芯

21、片具有數(shù)據(jù)保密的功能12、單芯片提供位邏輯運算指令3.3.2 AT89S51各引腳功能介紹:VCC:AT89S51 電源正端輸入,接+5V。VSS:電源地端。XTAL1:單芯片系統(tǒng)時鐘的反相放大器輸入端。XTAL2:系統(tǒng)時鐘的反相放大器輸出端,一般在設計上只要在 XTAL1 和 XTAL2 上接上一只石英振蕩晶體系統(tǒng)就可以動作了,此外可以在兩引腳與地之間加入一 20PF 的小電容,可以使系統(tǒng)更穩(wěn)定,避免噪聲干擾而死機。RESET:AT89S51的重置引腳,高電平動作,當要對晶片重置時,只要對此引腳電平提升至高電平并保持兩個機器周期以上的時間,AT89S51便能完成系統(tǒng)重置的各項動作,使得內(nèi)部特

22、殊功能寄存器之內(nèi)容均被設成已知狀態(tài),并且至地址0000H處開始讀入程序代碼而執(zhí)行程序。EA/Vpp:EA為英文External Access的縮寫,表示存取外部程序代碼之意,低電平動作,也就是說當此引腳接低電平后,系統(tǒng)會取用外部的程序代碼(存于外部EPROM中)來執(zhí)行程序。因此在8031及8032中,EA引腳必須接低電平,因為其內(nèi)部無程序存儲器空間。如果是使用 8751 內(nèi)部程序空間時,此引腳要接成高電平。此外,在將程序代碼燒錄至8751內(nèi)部EPROM時,可以利用此引腳來輸入21V的燒錄高壓(Vpp)。ALE/PROG:ALE是英文Address Latch Enable的縮寫,表示地址鎖存器

23、啟用信號。AT89S51可以利用這支引腳來觸發(fā)外部的8位鎖存器(如74LS373),將端口0的地址總線(A0A7)鎖進鎖存器中,因為AT89S51是以多工的方式送出地址及數(shù)據(jù)。平時在程序執(zhí)行時ALE引腳的輸出頻率約是系統(tǒng)工作頻率的1/6,因此可以用來驅(qū)動其他周邊晶片的時基輸入。此外在燒錄8751程序代碼時,此引腳會被當成程序規(guī)劃的特殊功能來使用。PSEN:此為Program Store Enable的縮寫,其意為程序儲存啟用,當8051被設成為讀取外部程序代碼工作模式時(EA=0),會送出此信號以便取得程序代碼,通常這支腳是接到EPROM的OE腳。AT89S51可以利用PSEN及RD引腳分別啟

24、用存在外部的RAM與EPROM,使得數(shù)據(jù)存儲器與程序存儲器可以合并在一起而共用64K的定址范圍。PORT0(P0.0P0.7):端口0是一個8位寬的開路汲極(Open Drain)雙向輸出入端口,共有8個位,P0.0表示位0,P0.1表示位1,依此類推。其他三個I/O端口(P1、P2、P3)則不具有此電路組態(tài),而是內(nèi)部有一提升電路,P0在當做I/O用時可以推動8個LS的TTL負載。如果當EA引腳為低電平時(即取用外部程序代碼或數(shù)據(jù)存儲器),P0就以多工方式提供地址總線(A0A7)及數(shù)據(jù)總線(D0D7)。設計者必須外加一鎖存器將端口0送出的地址栓鎖住成為A0A7,再配合端口2所送出的A8A15合

25、成一完整的16位地址總線,而定址到64K的外部存儲器空間。PORT2(P2.0P2.7):端口2是具有內(nèi)部提升電路的雙向I/O端口,每一個引腳可以推動4個LS的TTL負載,若將端口2的輸出設為高電平時,此端口便能當成輸入端口來使用。P2除了當做一般I/O端口使用外,若是在AT89S51擴充外接程序存儲器或數(shù)據(jù)存儲器時,也提供地址總線的高字節(jié)A8A15,這個時候P2便不能當做I/O來使用了。PORT1(P1.0P1.7):端口1也是具有內(nèi)部提升電路的雙向I/O端口,其輸出緩沖器可以推動4個LS TTL負載,同樣地若將端口1的輸出設為高電平,便是由此端口來輸入數(shù)據(jù)。如果是使用8052或是8032的

26、話,P1.0又當做定時器2的外部脈沖輸入腳,而P1.1可以有T2EX功能,可以做外部中斷輸入的觸發(fā)腳位。PORT3(P3.0P3.7):端口3也具有內(nèi)部提升電路的雙向I/O端口,其輸出緩沖器可以推動4個TTL負載,同時還多工具有其他的額外特殊功能,包括串行通信、外部中斷控制、計時計數(shù)控制及外部數(shù)據(jù)存儲器內(nèi)容的讀取或?qū)懭肟刂频裙δ?。其引腳分配如下:P3.0:RXD,串行通信輸入。P3.1:TXD,串行通信輸出。P3.2:INT0,外部中斷0輸入。P3.3:INT1,外部中斷1輸入。P3.4:T0,計時計數(shù)器0輸入。P3.5:T1,計時計數(shù)器1輸入。P3.6:WR:外部數(shù)據(jù)存儲器的寫入信號。P3.

27、7:RD,外部數(shù)據(jù)存儲器的讀取信號。3.4 熱釋電紅外傳感結(jié)構(gòu)原理圖3.7熱釋電紅外傳感器引腳圖被動式熱釋電紅外探頭3的工作原理及特性: 一般人體都有恒定的體溫,一般在37度,所以會發(fā)出特定波長10um左右的紅外線,被動式紅外探頭就是靠探測人體發(fā)射的10UM左右的紅外線而進行工作的。人體發(fā)射的10UM左右的紅外線通過菲尼爾濾光片增強后聚集到紅外感應源上。紅外感應源通常采用熱釋電元件,這種元件在接收到人體紅外輻射溫度發(fā)生變化時就會失去電荷平衡,向外釋放電荷,電后續(xù)電路經(jīng)檢驗處理后即可產(chǎn)生報警信號。 1)這種探頭是以探測人體輻射為目標的。所以熱釋電元件對波長為10UM左右的紅外輻射必須非常敏感。

28、為了僅僅對人體的紅外輻射敏感,在它的輻射照面通常覆蓋有特殊的菲尼爾濾光片,使環(huán)境的干擾受到明顯的控制作用。 被動紅外探頭,其傳感器包含兩個互相串聯(lián)或并聯(lián)的熱釋電元。而且制成的兩個電極化方向正好相反,環(huán)境背景輻射對兩個熱釋元件幾乎具有相同的作用,使其產(chǎn)生釋電效應相互抵消,于是探測器無信號輸出。 一旦人侵入探測區(qū)域內(nèi),人體紅外輻射通過部分鏡面聚焦,并被熱釋電元接收,但是兩片熱釋電元接收到的熱量不同,熱釋電也不同,不能抵消,經(jīng)信號處理而報警。 菲尼爾濾光片根據(jù)性能要求不同,具有不同的焦距(感應距離),從而產(chǎn)生不同的監(jiān)控視場,視場越多,控制越嚴密。第四章 系統(tǒng)軟件設計4.1 軟件簡介4.1.1 Pro

29、teus軟件簡介及使用Protues軟件是英國Labcenter electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風標電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。它是目前最好的仿真單片機及外圍器件的工具。雖然目前國內(nèi)推廣剛起步,但已受到單片機愛好者、從事單片機教學的教師、致力于單片機開發(fā)應用的科技工作者的青睞。Proteus是世界上著名的EDA工具(仿真軟件),從原理圖布圖、代碼調(diào)試到單片機與外圍電路協(xié)同仿真,一鍵切換到PCB設計,真正實現(xiàn)了從概念到產(chǎn)品的完整設計。是目前世界上唯一將電路仿真軟件、PCB設計軟件和虛擬模型仿真軟件三

30、合一的設計平臺,其處理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,在編譯方面,它也支持IAR、Keil和MPLAB等多種編譯器。該軟件具有4大功能模塊一智能原理圖設計(ISIS) 豐富的器件庫:超過27000種元器件,可方便地創(chuàng)建新元件;智能的器件搜索:通過模糊搜索可以快速定位所需要的器件;智能化的連線功能:自動連線功能使連接導線簡單快捷,大大縮短繪圖時間;支持總線結(jié)構(gòu):使用總線器件和總線布線使電路設計簡明清晰;可輸出高質(zhì)量圖紙:通過個性化設置,可以生成印刷質(zhì)量的BMP圖紙,可以方便地供WORD、POWERP

31、OINT等多種文檔使用。 二完善的電路仿真功能(Prospice) 1 ProSPICE混合仿真:基于工業(yè)標準SPICE3F5,實現(xiàn)數(shù)字/模擬電路的混合仿真;2 超過27000個仿真器件:可以通過內(nèi)部原型或使用廠家的SPICE文件自行設計仿 真器件,Labcenter也在不斷地發(fā)布新的仿真器件,還可導入第三方發(fā)布的仿真器件。3 多樣的激勵源:包括直流、正弦、脈沖、分段線性脈沖、音頻(使用wav文件)、指數(shù)信號、單頻FM、數(shù)字時鐘和碼流,還支持文件形式的信號輸入。 4 豐富的虛擬儀器:13種虛擬儀器,面板操作逼真,如示波器、邏輯分析儀、信號發(fā)生器、直流電壓/電流表、交流電壓/電流表、數(shù)字圖案發(fā)生

32、器、頻率計/計數(shù)器、邏輯探頭、虛擬終端、SPI調(diào)試器、I2C調(diào)試器等; 5 生動的仿真顯示:用色點顯示引腳的數(shù)字電平,導線以不同顏色表示其對地電壓大小,結(jié)合動態(tài)器件(如電機、顯示器件、按鈕)的使用可以使仿真更加直觀、生動;6 高級圖形仿真功能(ASF):基于圖標的分析可以精確分析電路的多項指標,包括工作點、瞬態(tài)特性、頻率特性、傳輸特性、噪聲、失真、傅立葉頻譜分析等,還可以進行一致性分析。 三獨特的單片機協(xié)同仿真功能(VSM) 1 支持主流的CPU類型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、BasicStamp、808

33、6、MSP430等,CPU類型隨著版本升級還在繼續(xù)增加,如即將支持CORTEX、DSP處理器; 2 支持通用外設模型:如字符LCD模塊、圖形LCD模塊、LED點陣、LED七段顯示模塊、鍵盤/按鍵、直流/步進/伺服電機、RS232虛擬終端、電子溫度計等等,其COMPIM(COM口物理接口模型)還可以使仿真電路通過PC機串口和外部電路實現(xiàn)雙向異步串行通信; 3 實時仿真:支持UART/USART/EUSARTs仿真、中斷仿真、SPI/I2C仿真、MSSP仿真、PSP仿真、RTC仿真、ADC仿真、CCP/ECCP仿真; 4 編譯及調(diào)試:支持單片機匯編語言的編輯/編譯/源碼級仿真,內(nèi)帶8051、AVR

34、、PIC的匯編編譯器,也可以與第三方集成編譯環(huán)境(如IAR、Keil和Hitech)結(jié)合,進行高級語言的源碼級仿真和調(diào)試;軟件仿真:支持當前的主流單片機,如51系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列、68000系列等。 1)提供軟件調(diào)試功能 2)提供豐富的外圍接口器件及其仿真 RAM,ROM,鍵盤,馬達,LED,LCD,AD/DA,部分SPI器件,部分IIC器件。這樣很接近實際。在訓練學生時,可以選擇不同的方案,這樣更利于培養(yǎng)學生。 3) 提供豐富的虛擬儀器 利用虛擬儀器在仿真過程中可以測量外圍電路的特性,培養(yǎng)學生實際硬件的調(diào)試能力。電路功能

35、仿真:在PROTUES繪制好原理圖后,調(diào)入已編譯好的目標代碼文件:*.HEX,可以在PROTUES的原理圖中看到模擬的實物運行狀態(tài)和過程。通過以上介紹讓我深入了解了該軟件的使用,本次課設的仿真原理圖參見附錄。4.1.2 Keil軟件簡介一. 系統(tǒng)概述 Keil C51是美國Keil Software公司出品的51系列兼容單片機C語言軟件開發(fā)系統(tǒng),與匯編相比,C語言在功能上、結(jié)構(gòu)性、可讀性、可維護性上有明顯的優(yōu)勢,因而易學易用。用過匯編語言后再使用C來開發(fā),體會更加深刻。 Keil C51軟件提供豐富的庫函數(shù)和功能強大的集成開發(fā)調(diào)試工具,全Windows界面。另外重要的一點,只要看一下編譯后生成

36、的匯編代碼,就能體會到Keil C51生成的目標代碼效率非常之高,多數(shù)語句生成的匯編代碼很緊湊,容易理解。在開發(fā)大型軟件時更能體現(xiàn)高級語言的優(yōu)勢。下面詳細介紹Keil C51開發(fā)系統(tǒng)各部分功能和使用。 二. Keil C51單片機軟件開發(fā)系統(tǒng)的整體結(jié)構(gòu) C51工具包的整體結(jié)構(gòu),其中uVision與Ishell分別是C51 for Windows和for Dos的集成開發(fā)環(huán)境(IDE),可以完成編輯、編譯、連接、調(diào)試、仿真等整個開發(fā)流程。開發(fā)人員可用IDE本身或其它編輯器編輯C或匯編源文件。然后分別由C51及A51編譯器編譯生成目標文件(.OBJ)。目標文件可由LIB51創(chuàng)建生成庫文件,也可以與

37、庫文件一起經(jīng)L51連接定位生成絕對目標文件(.ABS)。ABS文件由OH51轉(zhuǎn)換成標準的Hex文件,以供調(diào)試器dScope51或tScope51使用進行源代碼級調(diào)試,也可由仿真器使用直接對目標板進行調(diào)試,也可以直接寫入程序存貯器如EPROM中。 使用獨立的Keil仿真器時,注意事項 :* 仿真器標配11.0592MHz的晶振,但用戶可以在仿真器上的晶振插孔中換插其他頻率的晶振。 * 仿真器上的復位按鈕只復位仿真芯片,不復位目標系統(tǒng)。 * 仿真芯片的31腳(/EA)已接至高電平,所以仿真時只能使用片內(nèi)ROM,不能使用片外ROM;但仿真器外引插針中的31腳并不與仿真芯片的31腳相連,故該仿真器仍可

38、插入到擴展有外部ROM(其CPU的/EA引腳接至低電平)的目標系統(tǒng)中使用。三應用Keil進行軟件仿真開發(fā)的主要步驟編寫源程序并保存建立工程并添加源文件設置工程編譯/匯編、連接,產(chǎn)生目標文件程序調(diào)試。Keil使用“工程”(Project)的概念,對工程(而不能對單一的源程序)進行編譯/匯編、連接等操作。工程的建立、設置、編譯/匯編及連接產(chǎn)生目標文件的方法非常易于掌握。首先選擇菜單File-New,在源程序編輯器中輸入?yún)R編語言或C語言源程序(或選擇File-Open,直接打開已用其它編輯器編輯好的源程序文檔)并保存,注意保存時必須在文件名后加上擴展名.asm(.a51)或.c;然后選擇菜單Proj

39、ect-New Project,建立新工程并保存(保存時無需加擴展名,也可加上擴展名.uv2);工程保存后會立即彈出一個設備選擇對話框,選擇CPU后點確定返回主界面。這時工程管理窗口的文件頁(Files)會出現(xiàn)“Target1”,將其前面+號展開,接著選擇Source Group1,右擊鼠標彈出快捷菜單,選擇“Add File to Group Source Group1”,出現(xiàn)一個對話框,要求尋找并加入源文件(在加入一個源文件后,該對話框不會消失,而是等待繼續(xù)加入其它文件)。加入文件后點close返回主界面,展開“Source Group1”前面+號,就會看到所加入的文件,雙擊文件名,即可打

40、開該源程序文件。緊接著對工程進行設置,選擇工程管理窗口的Target1,再選擇Project-Option for TargetTarget1(或點右鍵彈出快捷菜單再選擇該選項),打開工程屬性設置對話框,共有8個選項卡,主要設置工作包括在Target選項卡中設置晶振頻率、在Debug選項卡中設置實驗仿真板等,如要寫片,還必須在Output選項卡中選中“Creat Hex Fi”;其它選項卡內(nèi)容一般可取默認值。工程設置后按F7鍵(或點擊編譯工具欄上相應圖標)進行編譯/匯編、連接以及產(chǎn)生目標文件。成功編譯/匯編、連接后,選擇菜單Debug-Start/Stop Debug Session(或按Ct

41、rl+F5鍵)進入程序調(diào)試狀態(tài)。4.2 軟件程序的實現(xiàn)按上述工作原理和硬件結(jié)構(gòu)分析可知系統(tǒng)主程序工作流程圖如下圖4.1所示;開始系統(tǒng)初始化傳感器數(shù)據(jù)采集判斷P0.7口是否為0 是 報警否 否判斷P0.6口是否為0 是報警結(jié)束圖4.1 軟件設計總框圖有人在所防范區(qū)域內(nèi)移動時會引起紅外輻射變化。當其所輻射的紅外線通過菲涅爾透鏡被聚焦在熱釋電紅外傳感器PIS-209S的探測元上時,熱釋電紅外傳感器將輸出電壓信號,然后經(jīng)信號放大電路放大后送入信號采集與處理電路,經(jīng)處理后向單片機輸出高電平。4.2.1 傳感器數(shù)據(jù)采集開始初始化采樣中斷串行通信子程序結(jié)束圖4.2 信號采集框圖圖4.2是其中斷服務程序流程。

42、其主要過程是開機進行初始化后,檢測熱釋電元件是否產(chǎn)生一定的電信號,然后把現(xiàn)在的時間和E2PROM所存儲時間相比較,蜂鳴器發(fā)出嘀嗒聲。第五章 系統(tǒng)調(diào)試與仿真5.1 系統(tǒng)仿真在Proteus 里面建立元器件連接關系。根據(jù)設計功能要求在Keil Vision3 環(huán)境下編寫匯編語言程序,并編譯連接生成十六進制的hex 文件,把此文件加載到單片機,就可以進行Proteus 仿真了。仿真結(jié)果如圖5.1所示圖5.1 系統(tǒng)仿真圖熱釋電探測器不能進行仿真,故用5V電源替代傳感器信號,當檢測到有人時, 熱釋電紅外傳感器將輸出電壓信號,即5V高電平輸入端口P0.6/P0.7,此時二極管發(fā)光,蜂鳴器發(fā)出滴答報警聲,P

43、0.7端口高電平則數(shù)碼管顯示1,P0.6端口高電平則顯示2。5.2 軟件的調(diào)試5.2.1 測試P2.0口輸出(1)調(diào)試目的使用P2.0口做輸出口使揚聲器工作(2)調(diào)試程序START:MOV SP, #60HMOV TMOD, #11HMOV IE, #8AH MOV IP, #08H ;T1中斷優(yōu)先于T0中斷MOVTH1, #3CHMOVTL1, #0B0H;以上為系統(tǒng)初始化,T=50msHERE:SJMP HEREINT_T0: CLR TR0MOV TL0, 20HMOV TH0, 21HCPL P2.0SETB TR0RETI(3)調(diào)試結(jié)果揚聲器有聲音發(fā)出,揚聲器正常工作。5.2.2 測

44、試LED顯示模塊(1)調(diào)試目的使用P2口作為輸出口實現(xiàn)LED的顯示,從而檢測P2口及LED顯示模塊是否正常工作。(2)調(diào)試程序MAIN:MOV TMOD, #10H ;定時器T1工作在方式1MOV TH1,#3CHMOV TL1,#0B0H;T=50msSTART: MOV R1,#00H ;計數(shù)顯示初始化MOV DPTR,#TABDISP:MOV A,R1 MOVC A,A+DPTR ;查表得顯示的字型碼 MOV P2,A ;數(shù)碼管顯示0 ACALL DELAY1S ;延時1S INC R1 ;計數(shù)值加1 CJNE R1,#10,DISP ;秒值不到10,繼續(xù)顯示;否則清0 MOV R1,#

45、00H ;計數(shù)值清0 SJMP DISPTAB: DB 0C0H,0F9H,0A4H ;0,1,2 DB 0B0H,99H,92H ;3,4,5 DB 82H,0F8H,80H ;6,7,8 DB 90H ;9DELAY1S: ;延時子程序 MOV R3,#14H ;置50MS計數(shù)循環(huán)初值SETB TR1 ;啟動T1LP1: JBC TF1,LP2 ;查詢計數(shù)溢出 SJMP LP1 ;未到50MS繼續(xù)計數(shù)LP2: MOV TH1,#3CH ;重新置定時器初值 MOV TL1,#0B0H DJNZ R3,LP1 ;未到1S繼續(xù)循環(huán)RET ;返回主程序end第六章 展望和總結(jié)畢業(yè)設計是培養(yǎng)學生綜合

46、運用所學知識,發(fā)現(xiàn),提出,分析和解決實際問題,鍛煉實踐能力的重要環(huán)節(jié),是對學生實際工作能力的具體訓練和考察過程.隨著科學技術(shù)發(fā)展的日新日異,單片機已經(jīng)成為當今計算機應用中空前活躍的領域, 在生活中可以說得是無處不在。因此作為二十一世紀的大學來說掌握單片機的開發(fā)技術(shù)是十分重要的?;仡櫰鸫舜螁纹瑱C設計,我仍感慨頗多,通過實踐可以學到很多很多的的東西,同時不僅可以鞏固了以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識。通過這次課程設計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務,從而提高自己的實際

47、動手能力和獨立思考的能力。在設計的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固,比如說不懂一些元器件的使用方法,對開發(fā)板不太了解,對單片機匯編語言掌握得不好,通過這次課程設計之后,一定把以前所學過的知識重新溫故。這次實習讓我受益匪淺,無論從知識上還是其他的各個方面。上課的時候的學習從來沒有見過真正的單片機,只是從理論的角度去理解枯燥乏味。但在實習中見過甚至使用了單片機及其系統(tǒng),能夠理論聯(lián)系實際的學習,開闊了眼界,提高了單片機知識的理解和水平。在這次課程設計中又讓我體會到

48、了合作與團結(jié)的力量,當遇到不會時,我們就會在QQ群里討論相互幫助。團結(jié)就是力量,無論在現(xiàn)在的學習中還是在以后的工作中,團結(jié)都是至關重要的,有了團結(jié)會有更多的理念、更多的思維、更多的情感。致 謝大學生活一晃而過,回首走過的歲月,心中倍感充實,當我寫完這篇畢業(yè)論文的時候,有一種如釋重負的感覺,感慨良多。首先誠摯的感謝我的論文指導老師。她在忙碌的教學工作中擠出時間來審查、修改我的論文,循循善誘的教導和不拘一格的思路給予我無盡的啟迪,還有教過我的所有老師們,他們嚴謹細致、一絲不茍的作風一直是我學習中的榜樣;其次感謝四年中陪伴在我身邊的同學、朋友,感謝他們?yōu)槲姨岢龅挠幸娴慕ㄗh和意見,有了他們的支持、鼓勵

49、和幫助,我才能充實的度過了四年的學習生;最后感謝我的父母,他們含辛茹苦、無怨無悔地把我撫養(yǎng),給了我無私的支持、關愛與呵護,讓我擁有了不斷前進的力量。參考文獻1 劉微, 陳賀新. 家庭的遠程監(jiān)控系統(tǒng)的設計J. 通信技術(shù), 2009, 42(01): 2黃志強,田太徐,鄒建華,王磊.嵌入式家庭遠程監(jiān)控系統(tǒng)設計J.微計算機信息,2005,21(03)3 孫人志ZT和PT陶瓷的熱釋電效應與品格參數(shù)的關系J無機材料學報1007,9期4陳曦.智能家居控制系統(tǒng)的設計與實現(xiàn)J,國外電子元器件,2003,(11);5-7;5曹聰.嵌入式智能家居控制系統(tǒng)的研究與實現(xiàn)D,上海,同濟大學,20046沈國琴.51單片機

50、C語言開發(fā)與實例M.北京人民出版社,20087李信江,劉成良等.遠程監(jiān)控技術(shù)在信息家電領域的研究與應用J.計算機工程與應用,2003,(17)8 薛均義, 張彥斌. MCS-51系列單片微型計算機及其應用M. 西安交通大學出版社9 唐桃波, 陳玉林. 基于AT89C51的智能無線安防報警器 J. 電子設計應用10 徐愛鈞. 單片機高級語言C51應用程序設計M. 北京航空航天大學出版社, 11 李全利. 單片機原理及接口技術(shù)M. 北京: 北京航空航天大學出版社12 余錫存. 單片機原理及接口技術(shù)M. 西安: 西安電子科技大學出版社13徐海琴,田作華,曹廣義.遠程監(jiān)控技術(shù)的新進展J.微型電腦應用,

51、2004,20(8)14徐春雨,潘仕彬,王豫峰.幾種遠程監(jiān)控通信方式的比較J.電信快報.2009,(01)15黃慧華,孫玉榮.基于遠程監(jiān)控系統(tǒng)的通信協(xié)議研究J.微計算機信息.2009,25(4-3)16 1 Yonghong Zhang and Jing Bai,“Performance Analysis of a Home Telemonitoring System”,27th Annual International Conference of the Engineering in Medicine and Biology Society, IEEE-EMBS 2005,pp.3950-3

52、953, 200517 Singh, S.; Hsiao, H, Infant telemonitoring system, Proceedings of the 25th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, vol.2, pp.1354-1357, 200318 Ho Seok Ahn; In-Kyu Sa; Jin Young Choi; PDA-based mobile robot system with remote monitoring for

53、 home environment Consumer Electronics, IEEE Transactions on Volume: 55 , Issue: 3 Digital Object Identifier: 10.1109/TCE.2009. P2009 , 1487 - 1495 19 Lin, Tiancheng ; Hu, Shengbo ; Shu, Heng. Design and implementation of home remote monitor system based on embedded system. Intelligent Computing and Integrated Systems (ICISS), 2010 International Conference on Digital Object Identifier: 10

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論