版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、東北石油大學(xué)課程設(shè)計任務(wù)書課程 eda技術(shù)課程設(shè)計題目 出租車自動計費系統(tǒng)專業(yè) 電子信息工程 姓名 學(xué)號 0主要內(nèi)容、基本要求、主要參考資料等主要內(nèi)容:設(shè)計一個最大量程為99.99元的出租車自動計費,計費器具有行車里程計費、等候時間計費及起價三部分功能,并用數(shù)碼管顯示車費的數(shù)目?;疽螅?、設(shè)計一個出租車自動計費器,具有行車里程計費、等候時間計費、及起價三部分,用四位數(shù)碼管顯示總金額,最大值為99.99元;2、行車里程單價1元/公里,等候時間單價0.5元/10分鐘,起價3元(3公里起價)均能通過人工輸入。3、行車里程的計費電路將汽車行駛的里程數(shù)轉(zhuǎn)換成與之成正比的脈沖數(shù),然后由計數(shù)譯碼電路轉(zhuǎn)換
2、成收費金額,實驗中以一個脈沖模擬汽車前進十米,則每100個脈沖表示1公里,然后用bcd碼比例乘法器將里程脈沖乘以每公里單價的比例系數(shù),比例系數(shù)可由開關(guān)預(yù)置。例如單價是1.0元/公里,則脈沖當(dāng)量為0.01元/脈沖。4、用led顯示行駛公里數(shù),兩個數(shù)碼管顯示收費金額。主要參考資料:1 潘松著.eda技術(shù)實用教程(第二版). 北京:科學(xué)出版社,2005.2 康華光主編.電子技術(shù)基礎(chǔ) 模擬部分. 北京:高教出版社,2006.3 閻石主編.數(shù)字電子技術(shù)基礎(chǔ). 北京:高教出版社,2003.完成期限 2011.3.11 指導(dǎo)教師 專業(yè)負責(zé)人 2011年 3月7日一、總體設(shè)計思想1.基本原理本次設(shè)計首先在qu
3、artus環(huán)境中對出租車自動計費器的各個部分利用vhdl這一硬件描述語言予以設(shè)計,生成模塊。而整個設(shè)計的核心部分就在分頻/計量模塊,該模塊完成的功能主要包括計費脈沖的產(chǎn)生,等待計時、計價、計程功能。隨后運用quartus中的仿真功能對其予以仿真,從仿真的結(jié)果中分析程序的正確性。待所有模塊的功能正確之后,運用原理圖搭建電路并進行整體仿真直至達到最初的設(shè)計要求,最后再在實驗箱上檢驗設(shè)計的正確與否。2.設(shè)計框圖根據(jù)設(shè)計要求,電路具有計時、計程、計費功能,用led顯示行駛公里數(shù),數(shù)碼管顯示收費金額,行車里程的計費電路將汽車行駛的里程數(shù)轉(zhuǎn)換成與之成正比的脈沖數(shù),然后由計數(shù)譯碼電路轉(zhuǎn)換成收費金額,實驗中以
4、一個脈沖模擬汽車前進十米,則每100個脈沖表示1公里,然后用bcd碼比例乘法器將里程脈沖乘以每公里單價的比例系數(shù),比例系數(shù)可由開關(guān)預(yù)置。其設(shè)計框圖如圖1所示:bcd碼比例乘法器bcd碼比例乘法器行車里程等車時間等車單價里程單價脈沖數(shù)求和脈沖電路計數(shù)器起步價譯碼器顯示器圖1 出租車自動計費系統(tǒng)設(shè)計框圖二、設(shè)計步驟和調(diào)試過程1、總體設(shè)計電路計程模塊是2個100進制計數(shù)器,輸入的每10米一次脈沖經(jīng)一次100進制計數(shù)獲得每km一次的脈沖,由100進制計數(shù)輸出為2個4位2進制bcd碼。接顯示模塊,得到計程(0-99km)。計時部分:計算乘客的等待累計時間。等候時間計費需將等候時間轉(zhuǎn)換成脈沖個數(shù),用每個脈
5、沖表示的金額與脈沖數(shù)相乘即得計費數(shù),例如100個脈沖表示10分鐘,而10分鐘收費0.5元,則脈沖當(dāng)量為0.05元/脈沖,如果將脈沖當(dāng)量設(shè)置成與行車里程計費相同(0.01元/脈沖),則10分鐘內(nèi)的脈沖數(shù)應(yīng)為500個。計程部分:計算乘客所行駛的公里數(shù)。計程器的量程為99km,滿量程后自動歸零。顯示模塊輸入計費、計時,計程數(shù)據(jù)總線通過掃描脈沖選擇一個bcd碼進行譯碼,每掃描一次進行一位顯示。所以掃描脈沖適當(dāng)取高一點。考慮視覺暫留25幀乘8得200hz以上為好。實際實驗中使用了5859hz。其他rst信號,每10米,1hz,74ls138三位八線選擇器,八位七段數(shù)碼管由外圍設(shè)備和電路提供??傮w設(shè)計電路
6、圖如圖2所示:圖2 出租車自動計費器頂層電路原理圖2、模塊設(shè)計和相應(yīng)模塊程序(1)計程模塊原理使用4位十進制計數(shù)器封裝成為8位一百進制計數(shù)器。對于外部每10米的里程脈沖,經(jīng)計數(shù)可以每km輸出進位脈沖一次。再用一百進制計數(shù)器對其進行計數(shù)。通過對計數(shù)輸出信號的譯碼可以得到里程在led上的顯示。算乘客所行駛的公里數(shù)。計程器的量程為99km,滿量程后自動歸零。(2)計時模塊原理使用1hz的外部脈沖信號,使用5位60進制計數(shù)器??梢缘玫矫糠昼娨淮蔚拿}沖輸出。再使用一百進制計數(shù)器對其進行計數(shù)。通過對計數(shù)輸出信號的譯碼可以得到時間顯示。計算乘客的等待累計時間。計時器的量程為59min,滿量程后自動歸零。(3
7、)計費模塊原理使用前兩模塊中的分鐘信號作為時間輸入,千米信號作為路程輸入。編寫兩個十進制計數(shù)器對時間和里程計數(shù)。并且在里程計數(shù)設(shè)置ent輸出,行程在3公里內(nèi),起步費為3元;3公里外以每公里按1元計費,等待累計時間每10min按0.5元計費。(4)顯示模塊原理行駛費用通過使用74ls138和七段數(shù)碼管進行顯示。這要求輸出使用3位輸出為位選,對其譯碼得到數(shù)碼管地址,7位數(shù)據(jù)在相應(yīng)數(shù)碼管上顯示相應(yīng)的數(shù)據(jù)。使用led顯示行駛里程數(shù)。編寫vhdl源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use
8、ieee.std_logic_unsigned.all;entity taxi is port(clk:in std_logic; start:in std_logic; stop:in std_logic; fin:in std_logic; cha3,cha2,cha1,cha0: out std_logic_vector(3 downto 0); km1,km0: out std_logic_vector(3 downto 0); min1,min0: out std_logic_vector(3 downto 0);end taxi;architecture behave of chu
9、zuche is signal q_1:integer range 0 to 99; signal w:integer range 0 to 59; signal c3,c2,c1,c0:std_logic_vector(3 downto 0); signal k1,k0 :std_logic_vector(3 downto 0); signal m1:std_logic_vector(3 downto 0); signal m0:std_logic_vector(3 downto 0); signal temp0,temp1 :std_logic_vector(3 downto 0); si
10、gnal f_1:std_logic; signal en0,en1:std_logic; begin feipin:process(clk,start) begin if clkevent and clk=1 then if start=0 then f_1=0; q_1=0; else if q_1=99 then q_1=0; f_1=1; else q_1=q_1+1; f_1=0; end if; end if; end if;end process;process(fin) begin if finevent and fin=1 then if start =0 then k1=0
11、000; k0=0000; elsif stop =0 then if k0=1001 then k0=0000; if k1=1001 then k1=0000; else k1=k1+1; end if; else k0=k0+1; end if; end if; end if;end process;process(f_1)beginif f_1event and f_1=1 thenif start =0 then w=0; m1=0000; m0=0000; elsif stop =1 then if w=59 then w=0; if m0=1001 then m0=0000; i
12、f m1=0101 then m1=0000; else m1=m1+1; end if; else m0=m0+1; end if; else w=w+1; end if; end if; end if;end process;process(clk)beginif clkevent and clk=1 then if start =0 then en0=0; en1=0; elsif stop =0 then en000000011 then en0=1; else en0=0; end if; elsif stop =1 then en000000010 then en1=1; else
13、 en1=0; end if; end if;end if;end process;process(clk,start) variable c13,c15:integer; begin if start=0 then c3=0000; c2=0000; c1=0101; c0=0000; c13:=0; c15:=0; temp0=0000;temp1=0000; elsif clkevent and clk=1 then if (en0=1) then if (c1313) then c13:=c13+1; if (c13=1) then temp0=k0; end if; if c0=10
14、01 then c0=0000; if c1=1001 then c1=0000; if c2=1001 then c2=0000; if c3=1001 then c3=0000; else c3=c3+1; end if; else c2=c2+1; end if; else c1=c1+1; end if; else c0=c0+1; end if; elsif(k0/=temp0) then c13:=0; end if;elsif(en1=1) then if(c1515) then c15:=c15+1; if(c15=1) then temp1=m0; end if;if c0=
15、1001 thenc0=0000; if c1=1001 then c1=0000;if c2=1001 then c2=0000; if c3=1001 then c3=0000; else c3=c3+1;end if;elsec2=c2+1;end if;elsec1=c1+1;end if;elsec0=c0+1;end if;elsif(m0/=temp1) then c15:=0;end if;end if;end if;end process;process(f_1)beginif f_1 event and f_1=1 thenif start =0 then min1=000
16、0; min0=0000; km1=0000; km0=0000;cha3=0000;cha2=0000;cha1=0000;cha0=0000; else min1=m1;min0=m0; km1=k1;km0=k0; cha3=c3;cha2=c2;cha1=c1;cha0=c0; end if; end if; end process;end behave;3、仿真及仿真結(jié)果分析仿真圖1仿真圖2圖中顯示為出租車總行駛里程為8km,累計等待時間為3min,費用為8.5元。4、實驗調(diào)試結(jié)果驗證所設(shè)計程序是否正確,將程序下載進行硬件測試。在quartus開發(fā)環(huán)境中進行管腳鎖定,連接好數(shù)碼管驅(qū)動
17、電路,然后將目標文件下載到器件中。經(jīng)過多次數(shù)據(jù)測試,顯示正常,基本實現(xiàn)本實驗的要求。三、結(jié)論及心得體會在這個星期的設(shè)計過程中收獲頗豐。設(shè)計的核心內(nèi)容就是利用vhdl語言設(shè)計出租車自動計費系統(tǒng)。在整個設(shè)計過程中,首先對eda這門課程有了更深的了解,因為課程設(shè)計本身要求將以前所學(xué)的理論知識運用到實際的電路設(shè)計當(dāng)中去,在電路的設(shè)計過程中,加深了對數(shù)字電路的了解及運用能力,對課本以及以前學(xué)過的知識有了一個更好的總結(jié)與理解;以前的數(shù)字實驗只是針對某一個小的功能設(shè)計,而此次的eda課程設(shè)計是對我們的設(shè)計總體電路的能力考核,需要通過翻閱復(fù)習(xí)以前學(xué)過的知識確立了實驗總體設(shè)計方案,然后逐步細化進行各模塊的設(shè)計,其次,在電路仿真的過程中總會出現(xiàn)一些問題,需要我們細心解決,我會在今后的學(xué)習(xí)中更加努力,增強自己的設(shè)計能力,不斷完善設(shè)計思路,達到學(xué)以致用的目的。參考資料1 潘松著.eda技術(shù)實用教程(第二版). 北京:科學(xué)出版社,2005.2 宋嘉玉,孫麗霞著eda實用技術(shù)北京:人民郵電出版社,2006.12 3 齊洪喜,陸穎著vhdl電路設(shè)計實用技術(shù)北京:清華大學(xué)出版社,2004.54 劉艷萍,高振斌著,李志軍eda實用技術(shù)及應(yīng)用北京:國防工業(yè)出版社,2006.15 章彬宏著eda應(yīng)用技術(shù)北京:北京理工大學(xué)出版社,2007
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 書法比賽活動總結(jié)
- 幼兒園中班圣誕節(jié)教案
- 調(diào)節(jié)情緒的教案
- 初一學(xué)生學(xué)習(xí)計劃
- 部編版四年級上冊《道德與法治》第四單元《讓生活多一些綠色》教學(xué)設(shè)計教案
- 銷售部年度個人工作計劃模板2022
- 競選大隊委演講稿模板集合10篇
- 2025年藥妝項目合作計劃書
- 青春寄語短句8個字3篇
- 小孩夏季發(fā)燒
- 永煤集團順和煤礦液壓銷齒彎道推車機技術(shù)規(guī)格書
- 九型人格測試之180題(完整版)和答案解析
- 口內(nèi)病例分析
- 壓力管道內(nèi)審記錄(共5頁)
- LS-MASTER-K-指令手冊
- 堵蓋與膠貼在車身堵孔方面的應(yīng)用
- 清單計價規(guī)范附錄附表詳解PPT課件
- 光刻膠知識簡介
- 烏茲別克語字母表
- 微機室學(xué)生上機記錄
- 畢業(yè)設(shè)計(論文)基于單片機AT89C51的數(shù)字搶答器設(shè)計
評論
0/150
提交評論