DVCCC8JH計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書print_第1頁
DVCCC8JH計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書print_第2頁
DVCCC8JH計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書print_第3頁
DVCCC8JH計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書print_第4頁
DVCCC8JH計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書print_第5頁
已閱讀5頁,還剩42頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書李翠玉 主編沈陽工業(yè)大學(xué)2010年8月前 言計(jì)算機(jī)組成原理是計(jì)算機(jī)科學(xué)與技術(shù)及相關(guān)專業(yè)的一門專業(yè)基礎(chǔ)課,是工程性、技術(shù)性和實(shí)踐性都非常強(qiáng)的一門課程,不僅在開展理論教學(xué)中講授計(jì)算機(jī)的基本組成與工作原理的基礎(chǔ)知識的同時,還要重視實(shí)踐教學(xué)環(huán)節(jié)以訓(xùn)練學(xué)生一定的硬件實(shí)踐動手能力。計(jì)算機(jī)組成原理實(shí)驗(yàn)是利用中大規(guī)模集成電路等器件,對組成計(jì)算機(jī)的各相關(guān)部件進(jìn)行邏輯設(shè)計(jì)、連線及測試。在實(shí)驗(yàn)過程中,通過對各部件的實(shí)現(xiàn)原理進(jìn)行邏輯設(shè)計(jì),經(jīng)過對器件的選擇及連線、編譯、仿真等工作后,對于設(shè)計(jì)出的各個部件進(jìn)行正確性測試。本實(shí)驗(yàn)實(shí)踐環(huán)節(jié)在課程教學(xué)內(nèi)容基礎(chǔ)上提出基礎(chǔ)實(shí)驗(yàn)和深度更廣、綜合性更強(qiáng)的設(shè)計(jì)性實(shí)

2、驗(yàn),要求學(xué)生通過基本實(shí)驗(yàn)驗(yàn)證運(yùn)算器的算術(shù)邏輯運(yùn)算、存儲器的讀寫操作和寄存器、存儲器、外設(shè)之間的數(shù)據(jù)傳送通路等內(nèi)容。在設(shè)計(jì)性實(shí)驗(yàn)中給出設(shè)計(jì)要求和設(shè)計(jì)思路,由學(xué)生自行設(shè)計(jì)和調(diào)試,獨(dú)立完成,加深學(xué)生對計(jì)算機(jī)的組成原理和指令在計(jì)算機(jī)中運(yùn)行過程的理解,學(xué)生可體驗(yàn)設(shè)計(jì)一個簡單計(jì)算機(jī)模型的方案、通過微指令、微程序的設(shè)計(jì)實(shí)現(xiàn)計(jì)算機(jī)的基本功能、不斷調(diào)試最終達(dá)到設(shè)計(jì)要求的全過程。課程實(shí)驗(yàn)環(huán)節(jié)的目的是幫助學(xué)生系統(tǒng)地掌握計(jì)算機(jī)中的運(yùn)算器、寄存器、譯碼電路、存儲器、和存儲微指令的控制存儲器等硬件組成的相關(guān)知識,實(shí)現(xiàn)知識融會貫通的目的。通過實(shí)驗(yàn)使學(xué)生在實(shí)際操作中加深對計(jì)算機(jī)硬件組成與設(shè)計(jì)、指令的調(diào)試和運(yùn)行維護(hù)等多方面的技

3、能,同時訓(xùn)練一定的實(shí)驗(yàn)動手能力。也使學(xué)生系統(tǒng)科學(xué)地受到分析問題和解決問題的訓(xùn)練,制定了一些實(shí)驗(yàn)項(xiàng)目。本書由李翠玉主編,由于作者水平有限,加之時間緊迫,書中難免有疏漏之處,請廣大讀者批評指正。 作 者 2010年8月目 錄dvcc系列計(jì)算機(jī)組成原理系統(tǒng)概述1第二章 調(diào)試軟件簡介5實(shí)驗(yàn)一 8位算術(shù)邏輯運(yùn)算實(shí)驗(yàn)8實(shí)驗(yàn)二 帶進(jìn)位控制8位算術(shù)邏輯運(yùn)算實(shí)驗(yàn)14實(shí)驗(yàn)三 16位算術(shù)邏輯運(yùn)算實(shí)驗(yàn)20實(shí)驗(yàn)四 移位運(yùn)算器實(shí)驗(yàn)26實(shí)驗(yàn)五 存儲器實(shí)驗(yàn)30實(shí)驗(yàn)六 數(shù)據(jù)通路實(shí)驗(yàn)35實(shí)驗(yàn)七 微控制器實(shí)驗(yàn)38第一章 dvcc系列計(jì)算機(jī)組成原理系統(tǒng)概述一、dvcc系列計(jì)算機(jī)組成原理系統(tǒng)簡介dvcc系列計(jì)算機(jī)組成原理系統(tǒng)是江蘇啟動

4、計(jì)算機(jī)公司研制的。dvcc實(shí)驗(yàn)機(jī)能很好地完成計(jì)算機(jī)硬件系統(tǒng)各功能部件的教學(xué)實(shí)驗(yàn),它包括運(yùn)算器部件、控制器部件、主存儲器部件、總線和幾種最重要的外設(shè)接口實(shí)驗(yàn),包括中斷、定時計(jì)數(shù)器、輸入/輸出接口等,在相應(yīng)軟件的配合下,將各功能部件有機(jī)的結(jié)合起來,完成計(jì)算機(jī)整機(jī)的實(shí)驗(yàn)。通過它能體現(xiàn)出重要教學(xué)內(nèi)容、能完成主要教學(xué)實(shí)驗(yàn)項(xiàng)目。在基本系統(tǒng)上支持多項(xiàng)擴(kuò)展功能,它包括一個在系統(tǒng)大規(guī)??删幊唐骷?,一個并行接口電路。dvcc系列實(shí)驗(yàn)系統(tǒng)可支持高級與初級兩個層次上兩種方式的實(shí)驗(yàn),高層次的實(shí)驗(yàn)方式是指dvcc系列機(jī)與pc微機(jī)連起來運(yùn)行,可以動態(tài)顯示整個實(shí)驗(yàn)過程中數(shù)據(jù)流的流向和當(dāng)前的各種參數(shù);初級實(shí)驗(yàn)方式是指不接任何計(jì)

5、算機(jī)外圍設(shè)備,只用dvcc系列機(jī)上的開關(guān)、按鍵及指示燈、數(shù)碼管顯示器等操作,控制實(shí)驗(yàn)機(jī)的運(yùn)行,同時顯示運(yùn)行的結(jié)果。二、dvcc系列計(jì)算機(jī)組成原理系統(tǒng)硬件技術(shù)指標(biāo)1、實(shí)驗(yàn)系統(tǒng)的字長為8位、16位兼容設(shè)計(jì)??蛇M(jìn)行16位運(yùn)算器實(shí)驗(yàn)。 2、實(shí)驗(yàn)系統(tǒng)的基本指令系統(tǒng)類pc 機(jī),有多種指令格式,多種尋址方式。 3、主存儲器采用8k字節(jié)靜態(tài)存儲器6264,用于存放用戶程序和數(shù)據(jù)。 4、由4片4位的算術(shù)邏輯單元功能發(fā)生器級聯(lián)而成16位運(yùn)算器。另配有一個雙向通用移位/寄存器,以實(shí)現(xiàn)邏輯移位功能。 5、控制器采用微程序方案實(shí)現(xiàn),控存字長為24位,可用最大容量為1024字節(jié),且用電可擦寫的e2rom存儲器芯片組成,支

6、持動態(tài)微程序設(shè)計(jì)。 6、實(shí)驗(yàn)系統(tǒng)上配有一個rs232串行接口,能直接與微機(jī)相連,在軟件的配合下,完成全部的部件實(shí)驗(yàn)和整機(jī)組成實(shí)驗(yàn)。 7、作為實(shí)驗(yàn)系統(tǒng)的擴(kuò)展部分:(1)主板上擴(kuò)展有一個6000門cpld器件,以實(shí)現(xiàn)可重構(gòu)原理計(jì)算機(jī)組成設(shè)計(jì)實(shí)驗(yàn)以及系統(tǒng)結(jié)構(gòu)的實(shí)驗(yàn),培養(yǎng)學(xué)生綜合設(shè)計(jì)能力;(2)擴(kuò)展有并行i/o口8255、定時/計(jì)數(shù)器8253,便于學(xué)生掌握計(jì)算機(jī)i/o口擴(kuò)展方法;(3)配有萬能接線板組成的通用實(shí)驗(yàn)板。 8、實(shí)驗(yàn)系統(tǒng)工作頻率源由555時基電路和74ls123可再觸發(fā)單穩(wěn)態(tài)多諧振蕩器組成產(chǎn)生,頻率范圍為330hz580hz。 9、實(shí)驗(yàn)系統(tǒng)上裝有24個微程序輸入開關(guān),16個數(shù)據(jù)開關(guān),18個控

7、制開關(guān),2個微動開關(guān)和2位七段數(shù)碼管以及多個發(fā)光二極管等。在不接入計(jì)算機(jī)的情況下能在手動方式下完成全部部件實(shí)驗(yàn)和整機(jī)組成實(shí)驗(yàn)。而且數(shù)據(jù)的輸入/輸出顯示為高電平亮,低電平滅,符合人們的習(xí)慣。 10、實(shí)驗(yàn)系統(tǒng)須采用總線結(jié)構(gòu),使實(shí)驗(yàn)計(jì)算機(jī)具有結(jié)構(gòu)簡單清晰、擴(kuò)展方便、靈活易變等諸多優(yōu)點(diǎn),實(shí)驗(yàn)時只要少些接線即可。 三、dvcc系列計(jì)算機(jī)組成原理系統(tǒng)軟件性能1、獨(dú)創(chuàng)的查錯功能,通過上位機(jī)軟件實(shí)時顯示硬件運(yùn)行情況,錯誤定位一目了然。 2、代碼程序、微程序直接屏幕編輯。 3、微程序動作屏幕上直接解釋,讓學(xué)生充分理解計(jì)算機(jī)系統(tǒng)硬件與軟件的結(jié)合點(diǎn)。 4、實(shí)驗(yàn)原理、目的、內(nèi)容和動態(tài)調(diào)試軟件集成于一體,計(jì)算機(jī)內(nèi)部程序

8、運(yùn)行流程彩色動態(tài)顯示,直觀生動,便于多媒體教學(xué)。 5、提供雙通道虛擬示波器,用于實(shí)驗(yàn)過程中信號的觀察,以便在設(shè)計(jì)性、創(chuàng)新性實(shí)驗(yàn)過程中及時分析排除故障,這樣,可以減少實(shí)驗(yàn)室硬件設(shè)備的投入,提高實(shí)驗(yàn)設(shè)備的綜合利用率。四、dvcc系列計(jì)算機(jī)組成原理實(shí)驗(yàn)機(jī)平面圖dvcc系列實(shí)驗(yàn)機(jī)平面圖如圖1所示。從圖1中可看到,dvcc系列實(shí)驗(yàn)機(jī)為學(xué)生提供了運(yùn)算器alu、寄存器堆模塊、指令部件模塊、內(nèi)存模塊、微程序模塊、啟停和時序電路模塊、控制臺控制模塊以及擴(kuò)展模塊。各功能模塊的輸出均通過三態(tài)器件,部分模塊間的總線已連好,另一部分模塊的總線學(xué)生可按需要連接。各模塊所用的控制線全部用跳線器跳接,簡單方便。i/o擴(kuò)展區(qū)擴(kuò)

9、展數(shù)據(jù)輸出顯示總線數(shù)據(jù)顯示數(shù)據(jù)輸入并顯示微地址控制微地址顯示微地址輸入微程序模塊啟動運(yùn)行手動脈沖編程寫讀運(yùn)行單步運(yùn)行方式停止運(yùn)行控制swcswa總清外部總線內(nèi)部總線程序ramrs232串行通信口低8位運(yùn)算器地址總線顯示24個微程序輸入開關(guān)高8位運(yùn)算器部分信號控制開關(guān)手動、自動切換跳線器圖1-1 dvcc-c8jh實(shí)驗(yàn)機(jī)平面圖第二章 調(diào)試軟件簡介dvcc實(shí)驗(yàn)機(jī)系統(tǒng)在控制軟件的協(xié)調(diào)控制下,提供靈活的實(shí)驗(yàn)操作方式。在實(shí)驗(yàn)計(jì)算機(jī)獨(dú)立使用時,通過撥動開關(guān)及發(fā)光二極管以及二進(jìn)制數(shù)碼形式進(jìn)行輸入、編程、顯示、調(diào)試,而且數(shù)據(jù)的輸入/輸出顯示為高電平亮,低電平滅,符合人們的習(xí)慣。在實(shí)驗(yàn)計(jì)算機(jī)通過rs232通信接

10、口與上位機(jī)聯(lián)機(jī)時,可以在上位機(jī)上進(jìn)行編程、相互傳送裝載實(shí)驗(yàn)程序、動態(tài)調(diào)試和運(yùn)行實(shí)驗(yàn)程序等全部操作,實(shí)驗(yàn)者可根據(jù)實(shí)驗(yàn)題目的需要在兩種實(shí)驗(yàn)操作方式之間隨意切換。dvcc實(shí)驗(yàn)計(jì)算機(jī)系統(tǒng)提供windows環(huán)境下集成調(diào)試軟件,有多個顯示窗口,如寄存器窗口、微代碼窗口、程序代碼窗口、動態(tài)代碼調(diào)試窗口、實(shí)時數(shù)據(jù)流動顯示窗口等,可在屏幕上顯示本實(shí)驗(yàn)計(jì)算機(jī)的組成邏輯示意圖,如圖2所示。在此環(huán)境下,微代碼、程序代碼可以直接在屏幕上修改或編程;微代碼字段可以直接動作解釋;調(diào)試運(yùn)行過程實(shí)時動態(tài)跟蹤顯示,如數(shù)據(jù)流的流向及數(shù)據(jù)總線、地址總線、控制總線的各種信息,使調(diào)試過程極為生動形象;并具有邏輯示波器測量等強(qiáng)大功能。為同

11、學(xué)們提供了良好的實(shí)驗(yàn)操作環(huán)境,增強(qiáng)同學(xué)們的學(xué)習(xí)、實(shí)驗(yàn)的興趣,從而提高教學(xué)效果。在dvcc實(shí)驗(yàn)計(jì)算機(jī)上還配有雙通道虛擬示波器測量軟件,用于實(shí)驗(yàn)過程中信號的觀察,以便在設(shè)計(jì)性、創(chuàng)新性實(shí)驗(yàn)過程中及時分析排除故障,這樣,可以減少實(shí)驗(yàn)室硬件設(shè)備的投入,提高實(shí)驗(yàn)設(shè)備的綜合利用率。dvcc實(shí)驗(yàn)計(jì)算機(jī)系統(tǒng)的集成調(diào)試軟件的硬件要求: 一臺 ibm 兼容個人計(jì)算機(jī),至少 pentium 或 pentiumii或更高。 光驅(qū)和鼠標(biāo); microsoft windows95/97/98/nt/2000 操作系統(tǒng); 至少32兆內(nèi)存,建議使用64兆; 至少4兆可用硬盤空間; 至少256色顯示卡。圖2-1 dvcc計(jì)算機(jī)系

12、統(tǒng)的windows環(huán)境下集成調(diào)試軟件界面 第三章 計(jì)算機(jī)各部件實(shí)驗(yàn)實(shí)驗(yàn)一 8位算術(shù)邏輯運(yùn)算實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?、掌握算術(shù)邏輯運(yùn)算器單元alu(74ls181)的工作原理。2、掌握簡單運(yùn)算器的數(shù)據(jù)傳送通路組成原理。3、驗(yàn)證算術(shù)邏輯運(yùn)算功能發(fā)生器74lsl8l的組合功能。4、按給定數(shù)據(jù),完成實(shí)驗(yàn)指導(dǎo)書中的算術(shù)邏輯運(yùn)算。二、實(shí)驗(yàn)內(nèi)容1、實(shí)驗(yàn)原理實(shí)驗(yàn)中所用的運(yùn)算器數(shù)據(jù)通路如圖1.1所示。其中運(yùn)算器由兩片74ls181以并串形成8位字長的alu構(gòu)成。運(yùn)算器的輸出經(jīng)過一個三態(tài)門74ls245 (u33)到aluo1插座,實(shí)驗(yàn)時用8芯排線和內(nèi)部數(shù)據(jù)總線busd0d7插座busl6中的任一個相連,內(nèi)部數(shù)據(jù)總線通

13、過lzdolzd7顯示燈顯示;運(yùn)算器的兩個數(shù)據(jù)輸入端分別由二個鎖存器74ls273(u29、u30)鎖存,兩個鎖存器的輸入并聯(lián)后連至插座alubus,實(shí)驗(yàn)時通過8芯排線連至外部數(shù)據(jù)總線exd0d7插座exjlexj3中的任一個;參與運(yùn)算的數(shù)據(jù)來自于8位數(shù)據(jù)開并kd0kd7,并經(jīng)過一三態(tài)門74ls245(u51)直接連至外部數(shù)據(jù)總線exd0exd7,通過數(shù)據(jù)開關(guān)輸入的數(shù)據(jù)由ld0ld7顯示。 圖1.1中算術(shù)邏輯運(yùn)算功能發(fā)生器74ls18l(u3l、u32)的功能控制信號s3、s2、sl、s0、cn、m并行相連后連至sj2插座,實(shí)驗(yàn)時通過6芯排線連至6位功能開關(guān)插座uj2,以手動方式用二進(jìn)制開關(guān)s

14、3、s2、s1、s0、cn、m來模擬74lsl8l(u31、u32)的功能控制信號s3、s2、s1、s0、cn、m;其它電平控制信號lddrl、lddr2、alub、swb以手動方式用二進(jìn)制開關(guān)lddrl、lddr2、alub、swb來模擬,這幾個信號有自動和手動兩種方式產(chǎn)生,通過跳線器切換,其中alub、swb為低電平有效,lddrl、lddr2為高電平有效。另有信號t4為脈沖信號,在手動方式下進(jìn)行實(shí)驗(yàn)時,只需將跳線器j23上t4與手動脈沖發(fā)生開關(guān)的輸出端sd相連,按動手動脈沖開關(guān),即可獲得實(shí)驗(yàn)所需的單脈沖。2、實(shí)驗(yàn)接線本實(shí)驗(yàn)用到4個主要模塊:(1)低8位運(yùn)算器模塊(2)數(shù)據(jù)輸入并顯示模塊(

15、3)數(shù)據(jù)總線顯示模塊(4)功能開關(guān)模塊(借用微地址輸入模塊)。根據(jù)實(shí)驗(yàn)原理詳細(xì)接線如下:(1)alubus連exj3;(2)alu01連busl;(3)sj2連uj2; (4)跳線器j23上t4連sd; (5)lddrl、lddr2、alub、swb四個跳線器撥在左邊(手動方式);(6)ar跳線器撥在左邊,同時開關(guān)ar撥在“1”電平。3、實(shí)驗(yàn)步驟(1)連接線路,仔細(xì)查線無誤后,接通電源。(2)用二進(jìn)制數(shù)碼開關(guān)kd0kd7向drl和dr2寄存器置數(shù)。方法:關(guān)閉alu4輸出三態(tài)門(alub=1),開啟輸入三態(tài)門(swb=0),輸入脈沖t4按手動脈沖發(fā)生按鈕產(chǎn)生。設(shè)置數(shù)據(jù)開關(guān)具體操作步驟圖示如下:l

16、ddr1=1lddr2=0t4= 數(shù)據(jù)開關(guān)置數(shù)開輸入三態(tài)門數(shù)據(jù)存入寄存器dr1alub=1swb=0kd7kd000110101數(shù)據(jù)開關(guān)置數(shù)開輸入三態(tài)門數(shù)據(jù)存入寄存器dr2alub=1swb=0lddr1=0lddr2=1t4= kd7kd001001000說明:lddrl、lddr2、alub、swb四個信號電平由對應(yīng)的開關(guān)lddrl、lddr2、alub、swb給出,撥在上面為“1”,撥在下面為“0”,電平值由對應(yīng)的顯示燈顯示,t4由手動脈沖開關(guān)給出。 (3)檢驗(yàn)drl和dr2中存入的數(shù)據(jù)是否正確,利用算術(shù)邏輯運(yùn)算功能發(fā)生器74lsl 8l的邏輯功能進(jìn)行驗(yàn)算,即m=1。具體操作如下:關(guān)閉數(shù)

17、據(jù)輸入三態(tài)門swb=1,打開alu輸出三態(tài)門alub=0,當(dāng)置s3、s2、s1、s0、m為11111時,總線指示燈顯示dr1中的數(shù),而置成10101時總線指示燈顯示dr2中的數(shù)(4)驗(yàn)證74lsl81的算術(shù)運(yùn)算和邏輯運(yùn)算功能(采用正邏輯)在給定drl35、dr2=48的情況下,改變算術(shù)邏輯運(yùn)算功能發(fā)生器的功能設(shè)置,觀察運(yùn)算器的輸出,填入實(shí)驗(yàn)報告表中,并和理論分析進(jìn)行比較、驗(yàn)證。三、實(shí)驗(yàn)電路本實(shí)驗(yàn)中使用的運(yùn)算器數(shù)據(jù)通路如圖1.1所示。四、74ls181功能表實(shí)驗(yàn)中用到的運(yùn)算器74ls181功能表如表1.1所示。表1.1 運(yùn)算器74ls181功能表(正邏輯)4位alus3 s2 s1 s0(算數(shù)運(yùn)

18、算)(邏輯運(yùn)算)n=1無進(jìn)位n=0有進(jìn)位0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1f=af=a+bf=a+bf=減1f=a加(a*b)f=(a+b)加(a*b)f=a減b減1f=(a*b)減1f=a加a*bf=a加bf=(a+b)加a*bf=a*b減1f=a加af=(a+b)加af=(a+b)加af=a減1f=a加1f=(a+b)加1f=(a+ b)加1f=0f=a加(a*b)加1f=(a+b)加(a* b)加1f

19、=a減bf=(a*b)f=a加a*b加1f=a加b加1f=(a+ b)加a*b加1f=a*bf=a加a加1f=(a+b)加a加1f=(a+ b)加a加1f=af= af=(a+b)f= a*bf=0f= (a*b)f= bf=(ab)f=(a* b)f= a+bf= (ab)f=bf=a*bf=1f=a+bf=a+bf=a其中:“+”表示或運(yùn)算;“*”表示與運(yùn)算;“”表示異或運(yùn)算圖1.1 運(yùn)算器數(shù)據(jù)通路五、實(shí)驗(yàn)數(shù)據(jù)1、實(shí)驗(yàn)數(shù)據(jù)記錄加數(shù)1 dr1加數(shù)2dr2s3s2 s1s0m0(算術(shù)運(yùn)算)m1(邏輯運(yùn)算)cn=1無進(jìn)位cn=0有進(jìn)位3548000000010010001101000101011

20、0011110001001101010111100110111101111ff f ff f ffff f f f f f f ff f f f f f f f f f f f f f f fffffff f f f f f f f f f 加數(shù)1dr1加數(shù)2dr2s3s2 s1s0m0(算術(shù)運(yùn)算)m1(邏輯運(yùn)算)cn=1無進(jìn)位cn=0有進(jìn)位354800000001010101111011110011011111f(35)f(7d)f(b2)f(34)f(ff)f(6a)f(b2) f(34) f(36)f(7e)f(b3) f(35) f(00)f(6b) f(b3)f(35)f(ca)f(

21、82)f(b7)f(35) f(00) f(ff)f(b7)f(35)2、 理論計(jì)算結(jié)果 六、思考題1、在向dr1和dr2寄存器置數(shù)時s3、s2、s1、s0、m、cn如何設(shè)置?2、dr1置數(shù)完成后,如果不關(guān)閉控制端,lddr1會怎樣?3為什么在讀取74ls181的輸出結(jié)果時要打開輸出三態(tài)門的控制端alub ?實(shí)驗(yàn)二 帶進(jìn)位控制8位算術(shù)邏輯運(yùn)算實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?、驗(yàn)證帶進(jìn)位控制的算術(shù)邏輯運(yùn)算發(fā)生器74lsl8l的功能。2、按指定數(shù)據(jù)完成幾種指定的算術(shù)運(yùn)算。 二、實(shí)驗(yàn)內(nèi)容1、實(shí)驗(yàn)原理帶進(jìn)位控制運(yùn)算器的實(shí)驗(yàn)原理如圖2.1所示,在實(shí)驗(yàn)一的基礎(chǔ)上增加進(jìn)位控制部分,其中高位74ls181(u31)的進(jìn)位c

22、n4通過門un4e、un2c、un3b進(jìn)入un5b的輸入端d,其寫入脈沖由t4和ar信號控制,t4是脈沖信號,在手動方式下進(jìn)行實(shí)驗(yàn)時,只需將跳線器j23上t4與手動脈沖發(fā)生開關(guān)的輸出端sd相連,按動手動脈沖開關(guān),即可獲得實(shí)驗(yàn)所需的單脈沖。ar是電平控制信號(低電平有效),可用于實(shí)現(xiàn)帶進(jìn)位控制實(shí)驗(yàn)。從圖中可以看出,ar必須為“0”電平,d型觸發(fā)器74ls74(un5b)的時鐘端clk才有脈沖信號輸入。才可以將本次運(yùn)算的進(jìn)位結(jié)果cy鎖存到進(jìn)位鎖存器74ls74(un5b)中。2、實(shí)驗(yàn)接線實(shí)驗(yàn)連線(1)(5)同實(shí)驗(yàn)一,詳細(xì)如下:(1)alubus連exj3;(2)aluo1連busl;(3)sj2連

23、uj2;(4)跳線器j23上t4連sd;(5)lddrl、lddr2、alub、swb四個跳線器撥在左邊(手動方式);(6)ar、299b跳線器撥在左邊,同時開關(guān)ar撥在“0電平,開關(guān)299b撥在“1”電平;(7)j25跳線器撥在右邊。(8)總清開關(guān)撥在“1”電平。若總清開關(guān)撥在“0”電平,cy清零。3、實(shí)驗(yàn)步驟(1)仔細(xì)查線無誤后,接通電源。(2)用二進(jìn)制數(shù)碼開關(guān)kdokd7向drl和dr2 寄存器置數(shù)。方法:關(guān)閉alu輸出三態(tài)門alub=1,開啟輸入三態(tài)門swb=0,輸入脈沖t4按手動脈沖發(fā)生按鈕產(chǎn)生。如果選擇參與操作的兩個數(shù)據(jù)分別為55h、aah,將這兩個數(shù)存入dr1和dr2的具體操作步

24、驟如下:lddr1=1lddr2=0t4= 數(shù)據(jù)開關(guān)置數(shù)開輸入三態(tài)門數(shù)據(jù)存入寄存器dr1alub=1swb=0kd7kd001010101lddr1=0lddr2=1t4= 數(shù)據(jù)開關(guān)置數(shù)開輸入三態(tài)門數(shù)據(jù)存入寄存器dr2alub=1swb=0kd7kd010101010(3)開關(guān)alub=0,開啟輸出三態(tài)門,開關(guān)swb=1,關(guān)閉輸入三態(tài)門,同時讓lddr1=0,lddr2=0。(4)如果原來有進(jìn)位,cy=1,進(jìn)位燈亮,但需要清零進(jìn)位標(biāo)志時,具體操作方法如下:u ar信號置為“0”電平,drl寄存器中的數(shù)應(yīng)小于ff。u s3、s2、s1、s0、m的狀態(tài)置為0 0 0 0 0。u 按動手動脈沖發(fā)生開

25、關(guān),cy=0,即清進(jìn)位標(biāo)志。注:進(jìn)位標(biāo)志指示燈cy亮?xí)r,表示進(jìn)位標(biāo)志為“1”,有進(jìn)位;進(jìn)位標(biāo)志指示燈cy滅時,表示進(jìn)位位為“0”,無進(jìn)位。(5)驗(yàn)證帶進(jìn)位運(yùn)算及進(jìn)位鎖存功能 這里有兩種情況:l 進(jìn)位標(biāo)志已清零,即cy=0,進(jìn)位燈滅。 使開關(guān)cn=0,再來進(jìn)行帶進(jìn)位算術(shù)運(yùn)算。例如步驟(2)參與運(yùn)算的兩個數(shù)為55h和aah,當(dāng)s3、s2、s1、s0狀態(tài)為10010,此時輸出數(shù)據(jù)總線顯示燈上顯示的數(shù)據(jù)為drl加dr2再加初始進(jìn)位位“1” (因cn=0),相加的結(jié)果應(yīng)為alu=00,并且產(chǎn)生進(jìn)位,此時按動手動脈沖開關(guān),則進(jìn)位標(biāo)志燈亮,表示有進(jìn)位。 使開關(guān)cn=1,當(dāng)s3、s2、s1、s0狀態(tài)為1001

26、0,則相加的結(jié)累alu=ff,并且不產(chǎn)生進(jìn)位。l 原來有進(jìn)位,即cy=1,進(jìn)位燈亮。此時不考慮cn的狀態(tài),再來進(jìn)行帶進(jìn)位算術(shù)運(yùn)算。同樣步驟(2)參與運(yùn)算的兩個數(shù)為55h和aah,當(dāng)s3、s2、s1、s0、m狀態(tài)為10010,此時輸出數(shù)據(jù)總線顯示燈上顯示的數(shù)據(jù)為drl加dr2再加當(dāng)前進(jìn)位標(biāo)志cy,相加的結(jié)果同樣為alu=00,并且產(chǎn)生進(jìn)位,此時按動手動脈沖開關(guān),則進(jìn)位標(biāo)志燈亮,表示有進(jìn)位。三、實(shí)驗(yàn)電路帶進(jìn)位控制運(yùn)算器的實(shí)驗(yàn)原理電路如圖2.1所示。四、驗(yàn)證兩種操作下帶進(jìn)位的運(yùn)算功能的實(shí)驗(yàn)數(shù)據(jù)記錄 cy=0 進(jìn)位燈滅dr1dr2s3 s2 s1 s0m=0,cn=0(帶進(jìn)位算術(shù)運(yùn)算) 運(yùn)算結(jié)果運(yùn)算后

27、進(jìn)位狀態(tài)cy理論計(jì)算結(jié)果8ch9fh0 0 0 00 0 0 10 1 1 01 0 0 11 1 0 01 1 0 1 cy=1 進(jìn)位燈亮dr1dr2s3 s2 s1 s0m=0, cy=1(帶進(jìn)位算術(shù)運(yùn)算) 運(yùn)算結(jié)果運(yùn)算后進(jìn)位狀態(tài) cy理論計(jì)算結(jié)果8ch9fh0 0 0 00 0 0 10 1 1 01 0 0 11 1 0 01 1 0 1五、思考題1、如何在進(jìn)位運(yùn)算操作前對進(jìn)位標(biāo)志清零?2、在進(jìn)行進(jìn)位運(yùn)算操作時,在何種情況下要對進(jìn)位標(biāo)志清零?3、分析硬件電路說明在什么條件下,才能鎖存8位運(yùn)算后的進(jìn)位標(biāo)志?圖2.1 帶進(jìn)位控制運(yùn)算器的數(shù)據(jù)通路實(shí)驗(yàn)三 16位算術(shù)邏輯運(yùn)算實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?、

28、驗(yàn)證算術(shù)邏輯運(yùn)算功能發(fā)生器 74ls181的16位運(yùn)算組合功能。2、掌握16位運(yùn)算器的數(shù)據(jù)傳送通路組成原理。3、按要求和給出的數(shù)據(jù)完成幾種指定的算術(shù)邏輯運(yùn)算。二、實(shí)驗(yàn)內(nèi)容1、實(shí)驗(yàn)原理16位運(yùn)算器數(shù)據(jù)通路如圖3.1所示,其中運(yùn)算器由四片74ls181以并串形成16位字長的alu構(gòu)成。低8位運(yùn)算器的輸出經(jīng)過一個三態(tài)門74ls245(u33)到aluo1插座,實(shí)驗(yàn)時用8芯排線和內(nèi)部數(shù)據(jù)總線busd0d7插座bus16中的任一個相連,低8位數(shù)據(jù)總線通過lzd0lzd7顯示燈顯示;高8位運(yùn)算器的輸出經(jīng)過一個三態(tài)門74ls245(u33)到aluo1插座,實(shí)驗(yàn)時用8芯排線和高8位數(shù)據(jù)總線busd8d15插

29、座kbus1或kbus2相連,高8位數(shù)據(jù)總線通過lzd8lzd15顯示燈顯示;參與運(yùn)算的四個數(shù)據(jù)輸入端分別由四個鎖存器74ls273(u29、u30、u29、u30)鎖存,實(shí)驗(yàn)時四個鎖存器的輸入并聯(lián)后用8芯排線連至外部數(shù)據(jù)總線exd0d7插座exj1exj3中的任一個;參與運(yùn)算的數(shù)據(jù)源來自于8位數(shù)據(jù)開并kd0kd7,并經(jīng)過一三態(tài)門74ls245(u51)直接連至外部數(shù)據(jù)總線exd0exd7,輸入的數(shù)據(jù)通過ld0ld7顯示。2、實(shí)驗(yàn)接線本實(shí)驗(yàn)需用到6個主要模塊: 低8位運(yùn)算器模塊; 數(shù)據(jù)輸入并顯示模塊; 數(shù)據(jù)總線顯示模塊; 功能開關(guān)模塊(借用微地址輸入模塊); 高8位運(yùn)算器模; 高8位(擴(kuò)展)數(shù)

30、據(jù)總線顯示模塊。根據(jù)實(shí)驗(yàn)原理詳細(xì)接線如下(接線同實(shí)驗(yàn)一): alubus連exj3; aluo1連bus1; sj2連uj2; 跳線器j23上t4連sd; lddr1、lddr2、alub、swb四個跳線器撥至左側(cè)(手動方式); ar跳線器撥至左側(cè),同時開關(guān)ar撥至“1”電平; alubus 連exj2; aluo1 連kbus1; 跳線器j19、j25撥至左側(cè)(16位alu狀態(tài)); 高8位運(yùn)算器區(qū)跳線器zi2、cn0、cn4連上短路套。 aluo1連kbus1; 跳線器j19、j25撥至左側(cè)(16位alu狀態(tài)); 高8位運(yùn)算器區(qū)跳線器zi2、cn0、cn4連上短路套。圖3.1 16位運(yùn)算器數(shù)

31、據(jù)通路圖3、實(shí)驗(yàn)步驟(1)連接線路,仔細(xì)查線無誤后,接通電源。(2)用二進(jìn)制數(shù)碼開關(guān)kd7kd0向dr1、dr2、dr3、dr4寄存器置數(shù)。方法:關(guān)閉alu輸出三態(tài)門應(yīng)使alub=1(即開關(guān)alub=1),開啟輸入三態(tài)門應(yīng)使swb=0(即開關(guān)swb=0),選通哪一個寄存器用對應(yīng)開關(guān)lddr1lddr4(高電平有效),其中l(wèi)ddr3、lddr4開關(guān)在高8位運(yùn)算器上部,輸入脈沖t4按手動脈沖發(fā)生按鈕。設(shè)置數(shù)據(jù)開關(guān)具體操作步驟圖示如下:kd7-d0=11111110數(shù)據(jù)開關(guān)置數(shù)alub=1swb=0開輸入三態(tài)門lddr1=1lddr2=0數(shù)據(jù)存入寄存器dr1kd7-d0=00000010數(shù)據(jù)開關(guān)置數(shù)

32、alub=1swb=0開輸入三態(tài)門數(shù)據(jù)存入寄存器dr2lddr1=0lddr2=1kd7-d0=00000001數(shù)據(jù)開關(guān)置數(shù)alub=1swb=0開輸入三態(tài)門數(shù)據(jù)存入寄存器dr3kd7-d0=00000001數(shù)據(jù)開關(guān)置數(shù)alub=1swb=0開輸入三態(tài)門數(shù)據(jù)存入寄存器dr4lddr1=0lddr2=0lddr3=1lddr4=0lddr2=0lddr3=0lddr4=1lddr1=0t4=t4=t4=t4=說明:lddr1、lddr2、alub、swb、lddr3、lddr4六個信號電平由對應(yīng)的開關(guān)lddr1、lddr2、alub、swb、lddr3、lddr4給出,撥至上面為“1”,撥至下面

33、為“0”,電平值由對應(yīng)顯示燈顯示;t4由手動脈沖開關(guān)給出。(3)驗(yàn)證74ls181的16位算術(shù)運(yùn)算和邏輯運(yùn)算功能(采用正邏輯)。開關(guān)swb=1,關(guān)閉輸入三態(tài)門;開關(guān)alub=0,打開輸出三態(tài)門;lddr1lddr4四個開關(guān)全撥至“0”電平。說明:如果要實(shí)現(xiàn)16位帶進(jìn)位控制算術(shù)邏輯運(yùn)算,只需在實(shí)驗(yàn)二的基礎(chǔ)上將開關(guān)ar=1置成ar=0即可。根據(jù)表3.1所示內(nèi)容,置功能開關(guān)s3、s2、s1、s0、m、cn改變74ls181的算術(shù)運(yùn)算和邏輯運(yùn)算功能設(shè)置,觀察運(yùn)算器輸出,將觀察結(jié)果填入表3.1中,并將理論計(jì)算結(jié)果寫入表3.2中,進(jìn)行比較驗(yàn)證。加數(shù)1高位 dr3加數(shù)1低位 dr1加數(shù)2高位 dr4加數(shù)2低

34、位 dr2s3 s2 s1 s0m0(算術(shù)運(yùn)算)m1(邏輯運(yùn)算)cn=1無進(jìn)位cn=0有進(jìn)位01fe 01020000000100100011010001010110011110001001101010111100110111101111ff f ff f ffff f f f f f f ff f f f f f f f f f f f f f f fffffff f f f f f f f f f 表3.1 實(shí)驗(yàn)結(jié)果 加數(shù)1高位 dr3加數(shù)1低位 dr1加數(shù)2高位 dr4加數(shù)2低位 dr2s3 s2 s1 s0m0(算術(shù)運(yùn)算)m1(邏輯運(yùn)算)cn=1無進(jìn)位cn=0有進(jìn)位01 fe 0102

35、00000001010101111011110011011111f( 01fe )f( 01fe ) f( 02fa )f( 00fb ) f( 0101 )f( 03fc )f( 03fc ) f( 01fd ) f( 01ff )f( 01ff ) f( 02fb ) f( 00fc ) f( 0102 ) f( 03fd ) f( 03fd ) f( 01fe ) f(fe01 )f(fe01 )f(fefd )f( 00fc )f( 0102 ) f( ffff ) f( ffff ) f( 01fe ) 表3.2 理論計(jì)算結(jié)果 注意:本實(shí)驗(yàn)做完后,拔掉連線alubus和aluo1,去

36、掉短路套zi2、cn0、cn4。三、設(shè)計(jì)內(nèi)容1、 若有兩個16位二進(jìn)制數(shù) :00ffh和2d5ah, 要求通過74ls181的運(yùn)算,計(jì)算這兩數(shù)相與的結(jié)果。(1)實(shí)現(xiàn)的方法(2)實(shí)驗(yàn)步驟(3)實(shí)驗(yàn)結(jié)果(4)理論計(jì)算結(jié)果2、 若有兩個16位二進(jìn)制數(shù) :1234h和a987h, 要求通過74ls181的運(yùn)算,計(jì)算這兩數(shù)之和。(1)實(shí)現(xiàn)的方法(2)實(shí)驗(yàn)結(jié)果(3)理論計(jì)算結(jié)果3、若被減數(shù)為7c69h和減數(shù)為1234h, 要求通過74ls181的運(yùn)算,計(jì)算這兩數(shù)之差。(1)實(shí)現(xiàn)的方法(2)實(shí)驗(yàn)結(jié)果(3)兩數(shù)之差4、若被減數(shù)為1234 h和減數(shù)為7c69h, 要求通過74ls181的運(yùn)算,計(jì)算這兩數(shù)之差。和

37、題4結(jié)果比較。(1)實(shí)驗(yàn)步驟(2)實(shí)驗(yàn)結(jié)果(3)實(shí)驗(yàn)結(jié)果表示的是什么(4)比較題4和題3結(jié)果,并加以說明。實(shí)驗(yàn)四 移位運(yùn)算器實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康尿?yàn)證移位控制器的組合功能。二、實(shí)驗(yàn)內(nèi)容1、實(shí)驗(yàn)原理移位運(yùn)算實(shí)驗(yàn)原理如圖4.1所示。本實(shí)驗(yàn)使用了一片74ls299(u34)作為移位發(fā)生器,其八位輸入輸出端引到8芯排座aluo2,實(shí)驗(yàn)時用8芯排線連至數(shù)據(jù)總線插座bus4。299b信號由開關(guān)299b提供,控制其使能端,t4為其時鐘脈沖,手動方式實(shí)驗(yàn)時將t4與手動脈發(fā)生器輸出端sd相連,即j23跳線器上t4連sd。由信號s0 、s1、 m控制其功能狀態(tài),詳細(xì)見表4.1。圖4.1 移位運(yùn)算實(shí)驗(yàn)原理圖表4.1 74

38、ls299功能表2、實(shí)驗(yàn)接線 aluo2連bus4; exj1連bus3; sj2連uj2; 跳線器alub、299b、swb撥至左側(cè)(手動位置),且開關(guān)alub撥至“1”電平,299b撥至“0”電平。 跳線器j23的t4連sd; 總清開關(guān)撥到“1”位置。3、實(shí)驗(yàn)步驟 連接實(shí)驗(yàn)線路,仔細(xì)查線無誤后接通電源。 置數(shù),具體步驟如下: 移位,參照表4.1改變s0、 s1、 m、 299b 的狀態(tài),按動手動脈沖開關(guān)以產(chǎn)生時鐘脈沖t4,觀察移位結(jié)果。kd7-d0=00110101數(shù)據(jù)開關(guān)置數(shù)swb=0開輸入三態(tài)門s0=1s1=1數(shù)據(jù)置入移位寄存器swb=1關(guān)輸入三態(tài)門t4=四、實(shí)驗(yàn)數(shù)據(jù)記錄1、移位寄存器

39、初始數(shù)據(jù)為:35h第1次按動手動脈沖開關(guān),移位寄存器數(shù)據(jù)為:第2次按動手動脈沖開關(guān),移位寄存器數(shù)據(jù)為:第3次按動手動脈沖開關(guān),移位寄存器數(shù)據(jù)為:第4次按動手動脈沖開關(guān),移位寄存器數(shù)據(jù)為:2、實(shí)驗(yàn)結(jié)果記錄表置入移位寄存器數(shù)據(jù)進(jìn)位cy299b s1 s0 m 移位操作移位后結(jié)果理論計(jì)算結(jié)果 9ah00 0 1 0100 0 1 1100 1 0 0100 1 0 11五、思考題若移位寄存器存放一個8位數(shù),通過怎樣的移位運(yùn)算后可使移位寄存器存放的數(shù)據(jù)保持不變?實(shí)驗(yàn)五 存儲器實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康恼莆侦o態(tài)隨機(jī)存取存儲器ram工作特性及數(shù)據(jù)的讀寫方法。二、實(shí)驗(yàn)內(nèi)容1、實(shí)驗(yàn)原理主存儲器單元電路主要用于存放實(shí)驗(yàn)機(jī)

40、的機(jī)器指令,如圖5.1所示,它的數(shù)據(jù)總線連到外部數(shù)據(jù)總線exd0exd7上;它的地址總線由地址寄存器單元電路中的地址寄存器74ls273(u37)給出,地址值由8個led燈lad0lad7顯示,高電平點(diǎn)亮,低電平熄滅;在手動方式下,輸入數(shù)據(jù)由8位數(shù)據(jù)開關(guān)kd0kd7提供,并經(jīng)一三態(tài)門74ls245(u51)連至外部數(shù)據(jù)總線exd0exd7,實(shí)驗(yàn)時將外部數(shù)據(jù)總線exd0exd7用8芯排線連到內(nèi)部數(shù)據(jù)總線busd0busd7,分時給出地址和數(shù)據(jù)。它的讀信號直接接地;它的寫信號和片選信號由寫入方式確定。該存儲器中機(jī)器指令的讀寫分手動和自動兩種方式。手動方式下,寫信號由w/r提供,片選信號由ce提供;

41、自動方式下,寫信號由控制cpu的p1.2提供,片選信號由控制cpu的p1.1提供。由于地址寄存器為8位,故接入6264的地址為a0a7,而高4位a8a12接地,所以其實(shí)際使用容量為256字節(jié)。6264有四個控制線:cs1為第一片選線、cs2為第二片選線、oe讀出使能線及we寫使能線。其功能如表5.1所示。cs1片選線由ce控制(對應(yīng)開關(guān)ce)、oe讀出使能線直接接地、we寫使能線由w/r控制(對應(yīng)開關(guān)we)、cs2直接接+5v。圖5.1中信號線ldar由開關(guān)ldar提供,手動方式實(shí)驗(yàn)時,跳線器ldar撥至左側(cè),脈沖信號t3由實(shí)驗(yàn)機(jī)上時序電路模塊ts3提供,實(shí)驗(yàn)時只需將j22跳線器連上即可,t3

42、的脈沖寬度可調(diào)。2、實(shí)驗(yàn)接線 總清開關(guān)撥到“1”位置。mbus連bus2;exj1連bus3;跳線器j22的t3連ts3;跳線器j16的sp連h23;跳線器swb、ce、we、ldar撥至左側(cè)(手動位置)。圖5.1 主存儲器單元電路表5.1 6264功能表工作方式i/o輸入dido/oe/we/cs1非選擇xhigh-zxxh讀出high-zdolhl寫入dihigh-zhll寫入dihigh-zlll選擇xhigh-zhhl3、實(shí)驗(yàn)步驟 連接實(shí)驗(yàn)線路,仔細(xì)查線無誤后接通電源。 形成時鐘脈沖信號t3。方法如下:在時序電路模塊中有兩個二進(jìn)制開關(guān)“運(yùn)行控制”和“運(yùn)行方式”。將“運(yùn)行控制”開關(guān)置為“

43、運(yùn)行”狀態(tài)、“運(yùn)行方式”開關(guān)置為“連續(xù)”狀態(tài)時,按動“運(yùn)行啟動”開關(guān),則t3有連續(xù)的方波信號輸出,此時調(diào)節(jié)電位器w1,用示波器觀察,使t3輸出實(shí)驗(yàn)要求的脈沖信號;本實(shí)驗(yàn)中“運(yùn)行方式”開關(guān)置為“單步”狀態(tài),每按動一次“啟動運(yùn)行”開關(guān),則t3輸出一個正單脈沖,其脈沖寬度與連續(xù)方式相同。 向存儲器的00地址單元中寫入數(shù)據(jù)11,具體操作步驟如下:swb=0ce=1ldar=1t3= 數(shù)據(jù)開關(guān)置數(shù)開輸入三態(tài)門數(shù)據(jù)置入地址寄存器ce=1swb=0swb=1kd7d0=00000000ldar=0swb=00swb=0ce=0we=1ldar=0t3= 數(shù)據(jù)開關(guān)置數(shù)開輸入三態(tài)門數(shù)據(jù)置入存儲器ramswb=1

44、kd7d0=00010001如果要對其它地址單元寫入內(nèi)容,方法同上,只是輸入的地址和內(nèi)容不同。 讀出剛才寫入00地址單元的內(nèi)容,觀察內(nèi)容是否與寫入的一致。具體操作步驟如下:swb=0ce=1ldar=1t3= 數(shù)據(jù)開關(guān)置數(shù)開輸入三態(tài)門數(shù)據(jù)置入地址寄存器ce=1swb=0swb=1kd7d0=00000000swb=1ce=0ldar=0we=0 數(shù)據(jù)從存儲器讀出三、實(shí)驗(yàn)數(shù)據(jù)記錄1、根據(jù)存儲器的讀寫原理,填寫下表??刂菩盘枌懙刂?寫內(nèi)容 讀內(nèi)容swb開關(guān)ldar開關(guān)ce 開關(guān)we開關(guān)2、記錄向存儲器寫入數(shù)據(jù)的操作過程。按照前面介紹的實(shí)驗(yàn)步驟向存儲器地址為00h, 01h,02h,03h,04h,

45、05h的單元分別寫入數(shù)據(jù):55h,33h,44h,66h,08h,f0h。3、寫出讀出存儲器單元內(nèi)容的操作過程并記錄以下地址單元讀出的內(nèi)容。地址內(nèi)容地址內(nèi)容0000000000000100000000010000010100000010000010000000001100000100四、根據(jù)電路圖分析向存儲器置數(shù)和從存儲器讀數(shù)的工作原理。五、思考題1、存儲器的地址是放在哪個芯片中的,為什么在輸入地址時,控制開關(guān)ce=1、 ldar=1?2、在讀存儲器內(nèi)容時控制信號swb=1、ce=0、we=0、ldar=0的含義是什么?實(shí)驗(yàn)六 數(shù)據(jù)通路實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?、理解數(shù)據(jù)通路的概念及特性。2、掌握數(shù)據(jù)

46、通路傳輸控制特性。二、實(shí)驗(yàn)內(nèi)容1、實(shí)驗(yàn)原理數(shù)據(jù)通路就是將不同的設(shè)備,如存儲器、輸入設(shè)備、輸出設(shè)備、寄存器等連至總線上。這些設(shè)備的輸出都需要三態(tài)輸出控制,如按照傳輸要求恰當(dāng)有序的控制它們,便可以實(shí)現(xiàn)數(shù)據(jù)通路的傳輸。實(shí)驗(yàn)框圖如圖6.1所示。數(shù)據(jù)總線數(shù)據(jù)輸入開關(guān)地址寄存器ar存儲器ram數(shù)碼管顯示r0寄存器圖6.1 數(shù)據(jù)通路的框圖2、實(shí)驗(yàn)接線 regbus連exj2; exj1連bus1,mbus連bus2; 跳線器swb、ldar、ce、we拔至左側(cè)(手動位置); 拔掉跳線器j13,j14,j18,j24,j22,j23。 用單芯線連接j13(中間端ldr0)到uj2最右端,j14(中間端r0b)到uj2右端第二針,j18(中間端outwr)連uj2右端第三針,j24(中間端ledb)連uj2右端第四針,即ua0控制ldr0、ua1控制r0b、ua2控制outwr、ua3控制ledb。3、實(shí)驗(yàn)步驟 連接實(shí)驗(yàn)線路,仔細(xì)查線無誤后接通電源。 初始狀態(tài)設(shè)為:關(guān)閉所有三態(tài)門(swb=1,ce=1,r0b=1,ledb=1),其它控制信號為ldar=0,ldr0=0,we=0,outwr=1。 送數(shù)據(jù)63到寄存器r0,數(shù)據(jù)20送地

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論