片上可編程系統(tǒng)設(shè)計_ppt_EXT_第1頁
片上可編程系統(tǒng)設(shè)計_ppt_EXT_第2頁
片上可編程系統(tǒng)設(shè)計_ppt_EXT_第3頁
片上可編程系統(tǒng)設(shè)計_ppt_EXT_第4頁
片上可編程系統(tǒng)設(shè)計_ppt_EXT_第5頁
已閱讀5頁,還剩36頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、FPGA片上可編程系統(tǒng)設(shè)計片上可編程系統(tǒng)設(shè)計 本課程的主要內(nèi)容本課程的主要內(nèi)容 一、一、FPGA概述概述(2) 二、二、FPGA硬件資源及工作原理硬件資源及工作原理(6) 三、硬件描述語言三、硬件描述語言-Verilog語言語言(8) 四、基于四、基于ISE軟件軟件FPGA設(shè)計流程設(shè)計流程(2) 五、數(shù)字可編程系統(tǒng)設(shè)計五、數(shù)字可編程系統(tǒng)設(shè)計(4) 六、實驗六、實驗(6) 參考教材參考教材 Xilinx 可編程邏輯器件應(yīng)用與系統(tǒng)設(shè)計可編程邏輯器件應(yīng)用與系統(tǒng)設(shè)計孫航電孫航電 子工業(yè)出版社子工業(yè)出版社 Verilog 數(shù)字系統(tǒng)設(shè)計教程數(shù)字系統(tǒng)設(shè)計教程夏宇聞夏宇聞 北京航空航北京航空航 天大學(xué)出版社天

2、大學(xué)出版社 不同廠家、不同系列的產(chǎn)品在性能上和結(jié)構(gòu)上會有不同廠家、不同系列的產(chǎn)品在性能上和結(jié)構(gòu)上會有 一定差異。在實際應(yīng)用中,要認(rèn)真查看相應(yīng)產(chǎn)品的一定差異。在實際應(yīng)用中,要認(rèn)真查看相應(yīng)產(chǎn)品的 說明書。說明書。 一、一、FPGA概述概述 FPGA(現(xiàn)場可編程門陣列,F(xiàn)ieldProgrammable Gate Array ):即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可 編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。它是作為專用集成電路 (ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路 的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。 一、一、FPGA概述概述 FPGA采用了邏輯單元

3、陣列LCA(Logic Cell Array)這樣一個 概念,內(nèi)部包括可配置邏輯模塊CLB(Configurable Logic Block)、輸出輸入模塊IOB(Input Output Block)和內(nèi)部連線 (Interconnect)三個部分。 現(xiàn)場可編程門陣列(FPGA)是可 編程器件,與傳統(tǒng)邏輯電路和門陣列(如PAL,GAL及CPLD器 件)相比,F(xiàn)PGA具有不同的結(jié)構(gòu)。FPGA利用小型查找表( 161RAM)來實現(xiàn)組合邏輯,每個查找表連接到一個D觸發(fā)器 的輸入端,觸發(fā)器再來驅(qū)動其他邏輯電路或驅(qū)動I/O,由此構(gòu)成 了既可實現(xiàn)組合邏輯功能又可實現(xiàn)時序邏輯功能的基本邏輯單 元模塊,這些

4、模塊間利用金屬連線互相連接或連接到I/O模塊。 一、一、FPGA概述概述 FPGA的邏輯是通過向內(nèi)部靜態(tài)存儲單元加載編程數(shù)據(jù)來實現(xiàn) 的,存儲在存儲器單元中的值決定了邏輯單元的邏輯功能以及 各模塊之間或模塊與I/O間的聯(lián)接方式,并最終決定了FPGA所 能實現(xiàn)的功能,F(xiàn)PGA允許無限次的編程。 FPGA是由存放在片內(nèi)RAM中的程序來設(shè)置其工作狀態(tài)的,因 此,工作時需要對片內(nèi)的RAM進行編程。用戶可以根據(jù)不同的 配置模式,采用不同的編程方式。 一、一、FPGA概述概述 加電時,F(xiàn)PGA芯片將EPROM中數(shù)據(jù)讀入片內(nèi)編程RAM中,配 置完成后,F(xiàn)PGA進入工作狀態(tài)。掉電后,F(xiàn)PGA恢復(fù)成白片, 內(nèi)部邏

5、輯關(guān)系消失,因此,F(xiàn)PGA能夠反復(fù)使用能夠反復(fù)使用。FPGA的編程 無須專用的FPGA編程器,只須用通用的EPROM、PROM編程 器即可。當(dāng)需要修改FPGA功能時,只需換一片EPROM即可。 這樣,同一片F(xiàn)PGA,不同的編程數(shù)據(jù),可以產(chǎn)生不同的電路 功能。因此,F(xiàn)PGA的使用非常靈活。 一、一、FPGA概述概述 FPGA的基本特點 1)采用FPGA設(shè)計ASIC電路(專用集成電路),用戶不需要投片 生產(chǎn),就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC電路的中試樣片。 3)FPGA內(nèi)部有豐富的觸發(fā)器和I/O引腳。 4)FPGA是ASIC電路中設(shè)計周期最短、開發(fā)費用最低、風(fēng) 險最

6、小的器件之一。 5) FPGA采用高速CMOS工藝,功耗低,可以與CMOS、 TTL電平兼容。 可以說,F(xiàn)PGA芯片是小批量系統(tǒng)提高系統(tǒng)集成度、可靠是小批量系統(tǒng)提高系統(tǒng)集成度、可靠 性的最佳選擇之一性的最佳選擇之一。 一、一、FPGA概述概述-市場份額 Xilinx Altera 是世界可編程平臺領(lǐng)導(dǎo)廠商,價格 一、一、FPGA概述概述-應(yīng)用領(lǐng)域 應(yīng)用: 航空航天航空航天/軍用產(chǎn)品軍用產(chǎn)品 汽車 廣播 消費類 數(shù)據(jù)處理/存儲 工業(yè)/科技/醫(yī)療(ISM) 有線 無線 一、一、FPGA概述概述-應(yīng)用領(lǐng)域 一、一、FPGA概述概述-主要發(fā)展例程(Xilinx) 1984年 Ross Freeman、

7、Bernie Vonderschmitt 和 Jim Barnett 創(chuàng)立了 Xilinx。 1985 年 Xilinx 推出了它的第一款產(chǎn)品 - XC2064。 1991年 推出 XC4000 系列 FPGA。 1998年 推出 Virtex FPGA 系列。 2002年 推出 CoolRunner-II 系列產(chǎn)品。 2003年 推出 Spartan-3 系列產(chǎn)品。 2006年 Xilinx 推出Virtex-5 系列的 FPGA。 2009年 Xilinx 推出Spartan-6和Virtex-6新一代 FPGA。 2011年 Xilinx 推出第7代FPGA系列產(chǎn)品,統(tǒng)一架構(gòu)。 一、一、

8、FPGA概述概述-芯片工藝的演進 Virtex Virtex-E Virtex-II Virtex-II Pro Virtex-4 Virtex-5 第一代第一代第二代第二代第三代第三代第四代第四代第五代第五代第六代第六代 220-nm 180-nm 150-nm 40-nm 65-nm 90-nm 130-nm Virtex-7 Virtex-6 第七代第七代 28-nm 一、一、FPGA概述概述新產(chǎn)品 1. 采用28nm的第7代FPGA產(chǎn)品相繼上市。 一、一、FPGA概述概述新產(chǎn)品 Zynq-7000 系列是 Xilinx 推出的首款可擴展式處理 平臺 (EPP)。該新型產(chǎn)品將業(yè)界標(biāo)準(zhǔn) A

9、RM雙核 Cortex-A9 MPCore 處理系統(tǒng)與 Xilinx 一體化 28nm 可編程邏輯架 構(gòu)整合在一起。 這種以處理器為核心的架構(gòu)不但能夠?qū)崿F(xiàn) FPGA 的高 度靈活性和可擴展性,同時還能帶來類似于 ASIC 的高性 能和低功耗,以及 ASSP 的易用性。 2. 嵌入ARM的Zynq-7000 系列FPGA將于下半年上市。 一、一、FPGA概述概述新產(chǎn)品 ARM雙核硬核處理器雙核硬核處理器 先進的先進的AXI4接口規(guī)范接口規(guī)范 可編程邏輯可編程邏輯 單元單元, DSP Slice, BRAM 集成了集成了ADC轉(zhuǎn)換器轉(zhuǎn)換器PCI-E控制器控制器 提供外設(shè)提供外設(shè) 控制器控制器 FP

10、GA概述概述-FPGA原理(查找表技術(shù)) FPGA是在PAL、GAL、EPLD、CPLD等可編程器件 的基礎(chǔ)上進一步發(fā)展起來的,它是作為ASIC領(lǐng)域中的一 種半定制電路而出現(xiàn)的,即解決了定制電路的不足,又 克服了原有可編程器件門電路有限的缺點。 由于FPGA需要被反復(fù)燒寫,它實現(xiàn)組合邏輯的基本 結(jié)構(gòu)不可能像ASIC那樣通過固定的與非門來完成,而只 能采用一種易于反復(fù)配置的結(jié)構(gòu)。 查找表可以很好地滿足這一要求,目前主流目前主流FPGA都都 采用了基于采用了基于SRAM工藝的查找表結(jié)構(gòu),工藝的查找表結(jié)構(gòu),也有一些軍品和 宇航級FPGA采用Flash或熔絲/反熔絲工藝查找表結(jié)構(gòu)。 FPGA概述概述-

11、FPGA原理(查找表技術(shù)) 查找表(Look-Up-Table)簡稱為LUT,LUT本質(zhì)上 就是一個RAM。 目前FPGA中多使用4輸入的LUT,所以每一個LUT 可以看成一個有4位地址線的 的RAM。 當(dāng)用戶通過原理圖或HDL語言描述了一個邏輯電路 以后,PLD/FPGA開發(fā)軟件會自動計算邏輯電路的所有 可能結(jié)果,并把真值表(即結(jié)果)事先寫入RAM,這樣 ,每輸入一個信號進行邏輯運算就等于輸入一個地址進 行查表,找出地址對應(yīng)的內(nèi)容,然后輸出即可。 FPGA概述概述-FPGA原理(四輸入查找表結(jié) 構(gòu)) LUT也稱為函數(shù)發(fā)生器(Function generator,FG) n其功能被輸入的數(shù)目限

12、制,而不是被復(fù) 雜性所限; n貫穿LUT的時延是固定的; A B C DZ 00000 00010 00100 00111 01001 01011 . 11000 11010 11100 11111 Combinatorial Logic A B C D Z FPGA概述概述-FPGA原理(六輸入查找表結(jié)構(gòu)) 多年以來,四輸入 LUT 一直是業(yè)界標(biāo)準(zhǔn)。但是, 在65nm工藝條件下,相比較于其它電路(特別是互連 電路),LUT 的常規(guī)結(jié)構(gòu)大大縮小。 更高的邏輯密度通??梢越档图壜?lián)更高的邏輯密度通??梢越档图壜?lián) LUT 的數(shù)目,的數(shù)目, 并且改進關(guān)鍵路徑延遲性能。并且改進關(guān)鍵路徑延遲性能。 FPG

13、A概述概述-FPGA原理(六輸入查找表結(jié)構(gòu)) 4/6輸入輸入LUT實現(xiàn)實現(xiàn)8:1多路復(fù)用器的原理多路復(fù)用器的原理 FPGA概述概述-FPGA原理(六輸入查找表結(jié)構(gòu)) 新一代的FPGA 提供了真正的 6-LUT,可以將它用 作邏輯或者分布式存儲器,這時 LUT是一個64 位的分 布式 RAM (甚至雙端口或者四端口)或者一個32 位可 編程移位寄存器。 每個 LUT 具有兩個輸出,從而實現(xiàn)了五個變量的兩 個邏輯函數(shù),存儲32 x 2 RAM 比特,或者作為16 x 2-bit 的移位寄存器進行工作。 Xilinx公司產(chǎn)品概述公司產(chǎn)品概述 -FPGA內(nèi)部結(jié)構(gòu) Spartan-6 FPGA芯片芯片

14、的版圖的版圖 一、一、FPGA概述概述-FPGA產(chǎn)品分類 Xilinx公司目前有兩大類FPGA產(chǎn)品: Spartan系列: 面向低成本的中低端應(yīng)用,是目前業(yè)界 成本最低的一類FPGA; Virtex系列: 面向高端應(yīng)用,屬于業(yè)界頂級產(chǎn)品 。 這兩個系列的差異僅限于芯片的規(guī)模和專用模塊上, Spartan系列是Virtex系列的簡化版本。 一、一、FPGA概述概述-先前的FPGA產(chǎn)品-Spartan 系列 Spartan-2,Spartan-2E,Spartan-3, Spartan-3A, Spartan-3E等種類。 1)Spartan-2最高可達20萬系統(tǒng)門; 2)Spartan-2E最高

15、可達60萬系統(tǒng)門; 3)Spartan-3最高可達500萬門; 4)Spartan-3A和Spartan-3E不僅系統(tǒng)門數(shù)更大,還增 強了大量的內(nèi)嵌專用乘法器和專用塊RAM資源,具備實 現(xiàn)復(fù)雜數(shù)字信號處理和片上可編程系統(tǒng)的能力。 一、一、FPGA概述概述 -先前的FPGA產(chǎn)品Virtex 系列 Virtex系列是Xilinx的高端產(chǎn)品,也是業(yè)界的頂級產(chǎn) 品,Xilinx公司正是憑借Vitex系列產(chǎn)品贏得市場,從而 獲得FPGA供應(yīng)商領(lǐng)頭羊的地位。 可以說Xilinx以其Virtex-5、Virtex-4、Virtex-II Pro和 Virtex-II系列FPGA產(chǎn)品引領(lǐng)現(xiàn)場可編程門陣列行業(yè)。

16、 一、一、FPGA概述概述-FPGA新結(jié)構(gòu) Virtex-4系列的FPGA采用了高級硅模組 (Advanced Silicon Modular Block,ASMBL)架構(gòu)。ASMBL通過使用獨 特的基于列的結(jié)構(gòu),實現(xiàn)了支持多專門領(lǐng)域應(yīng)用平臺的概 念。 每列代表一個具有專門功能 的硅子系統(tǒng),如邏輯資源、存儲 器、I/O、DSP、處理、硬IP和混 合信號等。 Xilinx公司通過組合不同功 能列,組裝成面向特定應(yīng)用類 別的專門領(lǐng)域FPGA(與專用不 同,專用是指一項單一應(yīng)用)。 一、一、FPGA概述概述-Virtex-4結(jié)構(gòu) 智能智能RAM 新的新的BRAM/FIFO Xesium 時鐘技術(shù)時鐘

17、技術(shù) 500 MHz RocketIO多吉比特多吉比特 接收、發(fā)送器接收、發(fā)送器 622 Mbps10.3 Gbps 1 Gbps SelectIO ChipSync 源同步技術(shù)源同步技術(shù), XCITE活動的端接活動的端接 三模式以太網(wǎng)三模式以太網(wǎng) MAC 10/100/1000 Mbps 帶帶APU接口的接口的 PowerPC 405 450 MHz, 680 DMIPS XtremeDSP 技術(shù)技術(shù) Slices 256 18x18 GMACs 高級高級CLBs 200K邏輯單元邏輯單元 一、一、FPGA概述概述-Virtex-5結(jié)構(gòu) 一、一、FPGA概述概述-新一代Virtex-6和Sp

18、artan- 6FPGA產(chǎn)品 Virtex-6 FPGAsSpartan-6 FPGAs 150K Logic Cell Device 760K Logic Cell Device 公共資源公共資源 *Optimized for target application in each family 3.3 V兼容的兼容的I/O 硬核存儲器控制器硬核存儲器控制器 LUT-6 CLB DSP Slices 塊塊RAM HSS 收發(fā)器收發(fā)器* 并行并行 I/O FIFO 邏輯邏輯 系統(tǒng)監(jiān)控器系統(tǒng)監(jiān)控器 三模式三模式EMAC PCIe 接口接口 高性能時鐘高性能時鐘 Basic Architecture

19、 30 一、一、FPGA概述概述-新一代Virtex-6和 Spartan-6FPGA產(chǎn)品 市場分額市場分額 Application Market Segments Spartan-6 LX 最低成本邏輯最低成本邏輯 + DSP 最低的邏輯最低的邏輯 + 高速串行鏈路高速串行鏈路 Spartan-6 LXT 高邏輯密度高邏輯密度 + 串行連接串行連接 Virtex-6 LXT DSP + 邏輯邏輯 + 串行連接串行連接 Virtex-6 SXT 超高速串行連接超高速串行連接+邏輯邏輯 Virtex-6 HXT 一、一、FPGA概述概述-最新一代FPGA產(chǎn)品(采用統(tǒng)一的 內(nèi)部結(jié)構(gòu)) l 通用的元

20、件使得很容易的實現(xiàn)通用的元件使得很容易的實現(xiàn)IP重用技術(shù),使得可以在重用技術(shù),使得可以在 所有的所有的7系列的系列的FPGA上實現(xiàn)快速的設(shè)計上實現(xiàn)快速的設(shè)計 l 從低成本到高性能的設(shè)計靈活性從低成本到高性能的設(shè)計靈活性 l 擴展的生態(tài)系統(tǒng)支持?jǐn)U展的生態(tài)系統(tǒng)支持 l 最快速的最快速的 產(chǎn)品投放市場時間(產(chǎn)品投放市場時間(TTM) 精確的,低抖動的時鐘精確的,低抖動的時鐘 MMCMs 邏輯結(jié)構(gòu)邏輯結(jié)構(gòu) LUT-6 CLB DSP引擎引擎 DSP48E1 Slices 片上存儲器片上存儲器 36Kbit/18Kbit BRAM 擴展的連接擴展的連接 PCIe 接口塊接口塊 高性能并行高性能并行 I/

21、O連接連接 SelectIO技術(shù)技術(shù) Artix-7 FPGA Kintex-7 FPGA Virtex-7 FPGA 高性能串行高性能串行 I/O連接連接 接收發(fā)送器技術(shù)接收發(fā)送器技術(shù) Basic Architecture 32 一、一、FPGA概述概述 - Xilinx配置配置FPGA的的 存儲器存儲器-PROM產(chǎn)品 Xilinx公司的Platform Flash PROM能為所有型號的 Xilinx FPGA提供非易失性存儲。 全系列PROM的容量范圍為1Mbit到32Mbit,兼容任 何一款Xilinx的FPGA芯片,具備完整的工業(yè)溫度特性, 支持IEEE1149.1所定義的JTAG邊

22、界掃描協(xié)議。 PROM芯片可以分成3.3V核電壓的 系列和1.8V核電 壓的系列兩大類。 一、一、FPGA概述概述 - Xilinx公司公司-PROM 產(chǎn)品 串行配置串行配置 并行配置并行配置 一、一、FPGA概述概述 - Xilinx公司軟件平公司軟件平 臺介紹臺介紹-開發(fā)工具 ISE Design Suite涉及了FPGA設(shè)計的各個應(yīng)用方面, 包括邏輯開發(fā)、數(shù)字信號處理系統(tǒng)以及嵌入式系統(tǒng)開發(fā)等 FPGA開發(fā)的主要應(yīng)用領(lǐng)域,主要包括: 1)ISE Design Tools:集成開發(fā)工具 2) EDK:嵌入式開發(fā)套件 3)System Generator:數(shù)字信號處理開發(fā)工具 4)ChipSc

23、ope Pro:在線邏輯分析儀工具 5)PlanAhead:用于布局和布線等設(shè)計分析工具 一、一、FPGA概述概述 - Xilinx公司軟件平臺公司軟件平臺 介紹介紹-ISE13.1軟件平臺 數(shù)字信號處數(shù)字信號處 理開發(fā)工具理開發(fā)工具 片上系統(tǒng)開發(fā)片上系統(tǒng)開發(fā) 在線邏輯分析在線邏輯分析 儀工具儀工具 布局布線布局布線 工具工具 集成開發(fā)環(huán)境集成開發(fā)環(huán)境 約束編輯器約束編輯器 核生成器核生成器 FPGA物理編輯器物理編輯器 下載工具下載工具 仿真庫編譯向?qū)Х抡鎺炀幾g向?qū)?時序分析器時序分析器 功耗分析器功耗分析器 一、一、FPGA概述概述 - Xilinx公司軟件平臺公司軟件平臺 介紹介紹-IS

24、E Foundation軟件 ISE Foundation軟件是Xilinx公司推出的FPGA/CPLD 集成開發(fā)環(huán)境,不僅包括邏輯設(shè)計所需的一切,還具有 簡便易用的內(nèi)置式工具和向?qū)?,使得I/O分配、功耗分 析、時序驅(qū)動設(shè)計收斂、HDL仿真等關(guān)鍵步驟變得容易 而直觀。 一、一、FPGA概述概述 - Xilinx公司軟件平公司軟件平 臺介紹臺介紹-EDK軟件 EDK是Xilinx公司推出的FPGA嵌入式開發(fā)工具,包 括嵌入式硬件平臺開發(fā)工具(Platform Studio)、嵌入式 軟件開發(fā)工具( Platform Studio SDK)、嵌入式IBM PowerPC硬件處理器核、Xilinx MicroBlaze軟處理器核、 開發(fā)所需的技術(shù)文檔和

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論