EDA課程設(shè)計(jì)循環(huán)顯示_第1頁(yè)
EDA課程設(shè)計(jì)循環(huán)顯示_第2頁(yè)
EDA課程設(shè)計(jì)循環(huán)顯示_第3頁(yè)
EDA課程設(shè)計(jì)循環(huán)顯示_第4頁(yè)
EDA課程設(shè)計(jì)循環(huán)顯示_第5頁(yè)
已閱讀5頁(yè),還剩10頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、燕 山 大 學(xué) 課 程 設(shè) 計(jì) 說(shuō) 明 書燕山大學(xué)課 程 設(shè) 計(jì) 說(shuō) 明 書題目: eda循環(huán)顯示 學(xué)院(系): 電氣工程學(xué)院 年級(jí)專業(yè): 08級(jí)生物醫(yī)學(xué)工程 學(xué) 號(hào): 080103040022 學(xué)生姓名: 周亞男 指導(dǎo)教師:周蓮蓮 李艷艷 教師職稱:高級(jí)實(shí)驗(yàn)師 助理實(shí)驗(yàn)師 燕山大學(xué)課程設(shè)計(jì)(論文)任務(wù)書院(系):電氣工程學(xué)院 基層教學(xué)單位:電子實(shí)驗(yàn)中心 學(xué) 號(hào)080103040022學(xué)生姓名周亞男專業(yè)(班級(jí))生物醫(yī)學(xué)工程一班設(shè)計(jì)題目eda顯示設(shè)計(jì)技術(shù)參數(shù)在8*8點(diǎn)陣電路上實(shí)現(xiàn)eda的自動(dòng)循環(huán)顯示。每次顯示eda三個(gè)字母中的一個(gè)。設(shè)計(jì)要求在8*8點(diǎn)陣電路上完成顯示。工作量學(xué)會(huì)使用max+plu

2、sii軟件和實(shí)驗(yàn)箱;獨(dú)立完成電路設(shè)計(jì),編程下載、連接電路和調(diào)試;參加答辯并書寫任務(wù)書。工作計(jì)劃1. 了解eda的基本知識(shí),學(xué)習(xí)使用軟件max+plusii,下發(fā)任務(wù)書,開(kāi)始電路設(shè)計(jì);2. 學(xué)習(xí)使用實(shí)驗(yàn)箱,繼續(xù)電路設(shè)計(jì);3. 完成電路設(shè)計(jì);4. 編程下載、連接電路、調(diào)試和驗(yàn)收;5. 答辯并書寫任務(wù)書。參考資料數(shù)字電子技術(shù)基礎(chǔ).閻石主編.高等教育出版社.eda課程設(shè)計(jì)指導(dǎo)書.指導(dǎo)教師簽字周蓮蓮 李艷艷基層教學(xué)單位主任簽字金海龍說(shuō)明:此表一式四份,學(xué)生、指導(dǎo)教師、基層教學(xué)單位、系部各一份。2010年12 月31日 目 錄第一章設(shè)計(jì)思路41.1設(shè)計(jì)目的及解決方法41.2模塊介紹41.3真值表7第二章設(shè)

3、計(jì)原理圖8第四章管腳鎖定及硬件鎖定104.1 管腳鎖定114.2 硬件連接12第五章心得體會(huì)13參考文獻(xiàn)14第一章 設(shè)計(jì)思路1.1設(shè)計(jì)目的及解決方法根據(jù)任務(wù)書可以得到以下任務(wù)目的及解決方法:1) 選用行掃描,用一片3-8譯碼器進(jìn)行;2) 分別用一片3-8譯碼器控制列,與控制行的3-8譯碼器配合,在8-8矩陣中分別顯示出“e” “d” “a”;3) 為達(dá)到行掃描的目的和保證控制行的與控制列的3-8譯碼器同步,選用一片八進(jìn)制計(jì)數(shù)器同時(shí)控制四個(gè)3-8譯碼器;4) 為達(dá)到“e”“d”“a”分別顯示和視覺(jué)延時(shí)效果,用一片計(jì)數(shù)器的不同數(shù)制分別控制三個(gè)控制列的譯碼器5) 為了達(dá)到“e”“d”“a”的視覺(jué)延時(shí)

4、效果,需要控制行掃描的八進(jìn)制計(jì)數(shù)器的時(shí)鐘脈沖頻率高,而控制列的計(jì)數(shù)器的時(shí)鐘脈沖頻率低(并且要保證高頻率是低頻率的8的整數(shù)倍)。1.2模塊介紹根據(jù)設(shè)計(jì)目的及解決方法可以將整個(gè)設(shè)計(jì)分為四個(gè)模塊:u 模塊一:控制行掃描的模塊用一片3-8譯碼器控制行低電平從第一行到第八行依次出現(xiàn)u 模塊二:控制顯示“e”“d”“a”的模塊根據(jù)真值表,分別用一片3-8譯碼器配合模塊一控制列電平的高低是8-8矩陣依次顯示“e”“d”“a”u 模塊三:基本掃描模塊:即控制四片3-8譯碼器基本掃描的八進(jìn)制譯碼器其中輸入為高頻率時(shí)鐘信號(hào)u 模塊四:片選延時(shí)模塊即控制顯示模塊的計(jì)數(shù)器,這里的輸入為低頻率時(shí)鐘信號(hào)(模塊三的高頻輸入

5、信號(hào)是這里低頻信號(hào)的8的整數(shù)倍)1.3真值表u 基本掃描頻率真值表模塊三輸入模塊三輸出模塊一輸出clk1cbay0y1y2y3y4y5y6y70000111111100110111111010110111110111110111111011110111101111110111101111110111111111110u 顯示“e”“d”“a”真值表模塊三輸入模塊二“e”輸出led輸出clk1y0y1y2y3y4y5y6y7l0l1l2l3l4l5l6l701111111111111111011111111111111110111111100000011101111111111111111011

6、111111111111110111100000011111101111111111111111011111111模塊三輸入模塊二“d”輸出led輸出clk1y0y1y2y3y4y5y6y7l0l1l2l3l4l5l6l701111111111111101011111111111010110111111100010111101111110000111111011111000011111110111100010111111101111111101111111011111010模塊三輸入模塊二“a”輸出led輸出clk1y0y1y2y3y4y5y6y7l0l1l2l3l4l5l6l70111111

7、1000110001011111100011000110111110011110011101111001111001111011101111110111110110111111011111101110000111111111011000011期望的eda顯示情況:第二章 設(shè)計(jì)原理圖總電路圖:輸入:c,為高頻率時(shí)鐘信號(hào) c1,為低頻率時(shí)鐘信號(hào)輸出:y20,y21,y22,y23,y24,y25, y26, y27,為控制行的輸出信號(hào) y0,y1,y2,y3,y4,y5,y6,y7,為控制led的輸出信號(hào) 共15頁(yè) 第15頁(yè)第三章 波形仿真圖 因篇幅限制,波形仿真圖中只連續(xù)出現(xiàn)兩次e,兩次d,兩次

8、a,已達(dá)到視覺(jué)延時(shí)效果。實(shí)際中應(yīng)改變c1頻率,使“e”連續(xù)多次出現(xiàn),使“d” 連續(xù)多次出現(xiàn),使“a” 連續(xù)多次出現(xiàn)輸入:c,為高頻率時(shí)鐘信號(hào),控制基本掃描頻率 c1,為低頻率時(shí)鐘信號(hào),控制片選時(shí)間和延時(shí)時(shí)間輸出:y20,y21,y22,y23,y24,y25, y26, y27,為控制行的輸出信號(hào) y0,y1,y2,y3,y4,y5,y6,y7,為控制led的輸出信號(hào)第四章 管腳鎖定及硬件鎖定4.1 管腳鎖定輸入管腳鎖定鎖定mbioc114c144行輸出管腳鎖定鎖定mbioy20120y21121y22122y23123y24124y25125y26126y27127列輸出管腳鎖定鎖定mbio

9、y050y151y252y353y454y555y656y7574.2 硬件連接輸入管腳鎖定pinc174c169行輸出管腳鎖定piny20189y21190y22191y23192y24193y25195y26196y27197列輸出管腳鎖定piny083y185y286y387y488y589y690y792將程序下載到試驗(yàn)箱后,對(duì)應(yīng)管腳號(hào)連接之后進(jìn)行試驗(yàn),觀察現(xiàn)象與設(shè)計(jì)一致。第五章 心得體會(huì)很高興有eda課設(shè),讓我接觸一門全新的知識(shí)。我是一個(gè)懶惰的人,可是懶人也會(huì)有對(duì)知識(shí)的渴望,只不過(guò)我不會(huì)主動(dòng)去了解。既然給了我這次機(jī)會(huì),那我肯定會(huì)而且已經(jīng)好好的珍惜了。從未知到了解最后到掌握,真的是一件

10、令人滿足而又愉快的事情!當(dāng)?shù)谝粋€(gè)上午我拿到任務(wù)書粗略看了看之后,感覺(jué)很簡(jiǎn)單,認(rèn)為就是一些數(shù)字電路的知識(shí)。然而下午的著手設(shè)計(jì)讓我意識(shí)到了自己的愚蠢,同時(shí)意識(shí)到了max+plus ii軟件于設(shè)計(jì)上的方便。人類真的很聰明,會(huì)為了避免麻煩的實(shí)物實(shí)驗(yàn)而開(kāi)發(fā)模擬軟件。進(jìn)一步改進(jìn)方案,使我的設(shè)計(jì)初步定型了。周三的上箱查看現(xiàn)象,讓我發(fā)現(xiàn)了設(shè)計(jì)上的不足,于是再一次改進(jìn)其實(shí),現(xiàn)在eda課設(shè)已經(jīng)基本完成了,可是我對(duì)eda還是一知半解,也許是課設(shè)因時(shí)間限制而給的任務(wù)過(guò)于簡(jiǎn)單吧(當(dāng)然對(duì)于我這種笨人來(lái)說(shuō),任務(wù)挺有難度的)。由于課設(shè)任務(wù)的簡(jiǎn)單,造成對(duì)軟件利用不全面。真的很希望課設(shè)時(shí)間長(zhǎng)一些,任務(wù)多一些難一些,讓我們對(duì)eda的了解更全面一些。最后感謝我的同伴,她的微笑陪伴著我的整個(gè)課設(shè)過(guò)程,甚至感染了我;感謝我的兩位指導(dǎo)老師,她們的講解與指導(dǎo)是我能順利完成任務(wù)的保障。感謝eda,人類的智慧!參考文獻(xiàn)1. 閻石數(shù)字電子技術(shù)基礎(chǔ)(第五版)高等教育出版社/2006年出版2. 陳新華.eda技術(shù)與應(yīng)用.機(jī)械工業(yè)出版社/2008年出版.3. 潘松 黃繼業(yè).eda技術(shù)與vhdl(第二版).清華大學(xué)出版社/2007年出版燕山大學(xué)課程設(shè)計(jì)評(píng)審意見(jiàn)表指導(dǎo)教師評(píng)語(yǔ):該生學(xué)習(xí)態(tài)度 (認(rèn)真 較認(rèn)真 不認(rèn)真) 該生遲到、早退現(xiàn)象 (有 無(wú))該生依賴他人進(jìn)行設(shè)計(jì)情況 (有 無(wú))

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論