電子技術(shù)綜合設(shè)計(jì)報(bào)告數(shù)字鐘設(shè)計(jì) 畢業(yè)設(shè)計(jì)_第1頁(yè)
電子技術(shù)綜合設(shè)計(jì)報(bào)告數(shù)字鐘設(shè)計(jì) 畢業(yè)設(shè)計(jì)_第2頁(yè)
電子技術(shù)綜合設(shè)計(jì)報(bào)告數(shù)字鐘設(shè)計(jì) 畢業(yè)設(shè)計(jì)_第3頁(yè)
電子技術(shù)綜合設(shè)計(jì)報(bào)告數(shù)字鐘設(shè)計(jì) 畢業(yè)設(shè)計(jì)_第4頁(yè)
電子技術(shù)綜合設(shè)計(jì)報(bào)告數(shù)字鐘設(shè)計(jì) 畢業(yè)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、設(shè)計(jì)專題: 電子技術(shù)綜合設(shè)計(jì)設(shè)計(jì)題目:多功能數(shù)字鐘設(shè)計(jì)內(nèi)容和要求:1. 主要內(nèi)容: 用 cc4518雙四位bcd同步加計(jì)數(shù)器設(shè)計(jì)60秒、60分、24小時(shí)歸0的計(jì)數(shù)電路 用cc4511 七段譯碼驅(qū)動(dòng)/鎖存器及l(fā)g5011ah共陰數(shù)碼管設(shè)計(jì)譯碼及顯示電路(數(shù)碼管需加限流電阻) 用555設(shè)計(jì)cp脈沖源 (f=1kh) 具有系統(tǒng)校準(zhǔn)功能2. 整體電路原理圖60秒、60分、24小時(shí)- 計(jì)數(shù)、譯碼、顯示電路(用8k白紙手工畫圖)3. ewb仿真圖60秒、60分、24小時(shí)- 計(jì)數(shù)、譯碼、顯示電路(計(jì)算機(jī)打印)4. 設(shè)計(jì)原理圖用protel99設(shè)計(jì)原理圖(計(jì)算機(jī)打?。?. 設(shè)計(jì)pcb版圖用protel99設(shè)計(jì)

2、pcb板圖(計(jì)算機(jī)打?。?. 功能擴(kuò)展要求設(shè)計(jì):定點(diǎn)報(bào)時(shí)功能 12小時(shí)歸1計(jì)數(shù)電路指導(dǎo)教師簽字: 年 月 日摘要本次實(shí)驗(yàn)的主要內(nèi)容是設(shè)計(jì)多功能的數(shù)字鐘。數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,相比之下具有更高的準(zhǔn)確性和主觀性,該數(shù)字鐘具有基本功能和擴(kuò)展功能兩部分。其中,基本功能部分的有準(zhǔn)確計(jì)時(shí),以數(shù)字形式顯示時(shí)、分、秒的時(shí)間和校時(shí)功能;擴(kuò)展功能部分則具有:待機(jī)、整點(diǎn)報(bào)時(shí)、日期顯示、鬧鐘、秒表。通過(guò)數(shù)碼管顯示秒、分、時(shí)、日、月、年,可以靈活的設(shè)置時(shí)間,增加按鈕模塊通過(guò)按鍵掃描可以對(duì)當(dāng)前的時(shí)間隨意設(shè)置,為具有更高的實(shí)用價(jià)值。由于該系統(tǒng)具有時(shí)間顯示、鬧鐘、秒表等完整功能,有很高的利用價(jià)值

3、。數(shù)字鐘從原理上講是一種典型的數(shù)字電路,其中包括了組合邏輯電路和時(shí)序電路。目前,數(shù)字鐘的功能越來(lái)越強(qiáng),并且有多種專門的大規(guī)模集成電路可供選擇。它是由數(shù)子鐘電路、定時(shí)電路、放大執(zhí)行電路、電源電路組成。為了簡(jiǎn)化電路結(jié)構(gòu),數(shù)字鐘電路與定時(shí)電路之間的連接采用直接譯碼技術(shù)。具有電路結(jié)構(gòu)簡(jiǎn)單、動(dòng)作可靠、使用壽命長(zhǎng)、更改設(shè)定時(shí)間容易、制造成本低等優(yōu)點(diǎn)。 關(guān)鍵詞:數(shù)字鐘;555芯片;計(jì)數(shù)器目 錄1 數(shù)字鐘的基本組成及工作原理11.1數(shù)字鐘的構(gòu)成11.2數(shù)字鐘的工作原理12 數(shù)字鐘的設(shè)計(jì)與制作32.1系統(tǒng)方案選擇與論證32.2設(shè)計(jì)步驟與方法32.2.1 ne555脈沖電路產(chǎn)生32.2.2計(jì)數(shù)器電路52.2.3譯

4、碼顯示電路72.2.4校時(shí)電路102.3數(shù)字鐘仿真112.3.1數(shù)字鐘電路原理圖(見(jiàn)附錄4)112.3.2系統(tǒng)整體仿真圖(見(jiàn)附錄6)112.3.3 pcb板圖(見(jiàn)附錄2)113 數(shù)字鐘的擴(kuò)展功能123.1定點(diǎn)報(bào)時(shí)123.2 12歸1134 數(shù)字鐘的焊接及注意事項(xiàng)154.1焊接元件清單(見(jiàn)附錄3)154.2實(shí)際焊接的印刷電路板元件分布圖(見(jiàn)附錄5)154.3焊接注意事項(xiàng)155 系統(tǒng)軟、硬件調(diào)試175.1系統(tǒng)軟件調(diào)試過(guò)程中遇到的問(wèn)題以及排查經(jīng)過(guò)175.2系統(tǒng)硬件調(diào)試過(guò)程中遇到的問(wèn)題以及排查經(jīng)過(guò)176 總結(jié)及體會(huì)187參考文獻(xiàn)19附錄20附錄1:20附錄221附錄322附錄423附錄524附錄625

5、徐海學(xué)院15屆電子技術(shù)綜合設(shè)計(jì)報(bào)告第 26 頁(yè)1 數(shù)字鐘的基本組成及工作原理1.1數(shù)字鐘的構(gòu)成 數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1hz)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間(如北京時(shí)間)一致,因而需要在電路上加一個(gè)校時(shí)電路,同時(shí)標(biāo)準(zhǔn)的1hz時(shí)間信號(hào)必須做到準(zhǔn)確穩(wěn)定,通常使用石英晶體振蕩器電路構(gòu)成數(shù)字鐘。此外,加入了一定的擴(kuò)展功能,實(shí)現(xiàn)了定時(shí)報(bào)時(shí)。圖1-1為數(shù)字鐘的一般結(jié)構(gòu)框圖。圖1-1數(shù)字鐘組成框圖1.2數(shù)字鐘的工作原理一個(gè)具有計(jì)時(shí)、顯示燈基本功能的數(shù)字鐘主要由振蕩器、計(jì)數(shù)器、譯碼器、顯示器等四部分組成。多諧振蕩器產(chǎn)生的信號(hào)輸入到秒脈沖,秒脈沖送入計(jì)數(shù)器計(jì)數(shù),技術(shù)結(jié)果通過(guò)“時(shí)”

6、、“分”、“秒”譯碼器譯碼,并通過(guò)顯示器顯示時(shí)間。數(shù)字鐘原理圖如圖1-2所示。圖1-2數(shù)字鐘原理圖(1) 秒脈沖信號(hào)發(fā)生器利用ne555多諧振蕩器通過(guò)調(diào)整參數(shù),完成了f=1hz的秒脈沖信號(hào)的產(chǎn)生。(2) 時(shí)間計(jì)數(shù)器電路時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器,分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成。其中秒個(gè)位和秒十位計(jì)數(shù)器,分個(gè)位和分十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器。結(jié)合cc4518芯片實(shí)現(xiàn)計(jì)數(shù)清零的功能。(3) 譯碼驅(qū)動(dòng)及顯示單元計(jì)數(shù)器實(shí)現(xiàn)了對(duì)時(shí)間的累計(jì)以8421bcd碼顯示出來(lái),需用顯示譯碼電路將計(jì)數(shù)器的輸出數(shù)碼轉(zhuǎn)換為數(shù)碼顯示器件所需要的輸出邏輯和一定

7、的電流,一般這種譯碼器通常稱為7段譯碼器顯示驅(qū)動(dòng)器。常用的7段譯碼顯示驅(qū)動(dòng)器有cc4511。(4) 校時(shí)電源電路當(dāng)重新接通電源或時(shí)鐘出現(xiàn)誤差時(shí)都需要對(duì)時(shí)間進(jìn)行校正。通常,校正時(shí)間的方法是:首先截?cái)嗾5挠?jì)數(shù)電路,然后再進(jìn)行人工出觸發(fā)計(jì)數(shù)或?qū)㈩l率較高的方波信號(hào)加到需要校正的計(jì)數(shù)單元的輸入端,校正好后,再轉(zhuǎn)入正常計(jì)時(shí)狀態(tài)即可。(5) 定點(diǎn)報(bào)時(shí)電路一般時(shí)鐘都具備著定點(diǎn)報(bào)時(shí)的電路功能,即當(dāng)時(shí)鐘走到所設(shè)定的時(shí)間時(shí),會(huì)自動(dòng)報(bào)時(shí)。2 數(shù)字鐘的設(shè)計(jì)與制作2.1系統(tǒng)方案選擇與論證(1) 脈沖電路選擇與論證方案一:采用rc振蕩電路,在通過(guò)整形電路產(chǎn)生所需的秒脈沖信號(hào);方案二:使用計(jì)時(shí)ic芯片ne55,搭建多諧振蕩

8、器產(chǎn)生所需的秒脈沖信號(hào)。由于rc振蕩電路不穩(wěn)定,且不能精確產(chǎn)生所需秒脈沖,而ne55只需簡(jiǎn)單的電阻器、電容器就可以搭建出所需電路,而且它的計(jì)時(shí)精確度高,溫度穩(wěn)定度佳,且價(jià)格便宜,所以我們采用方案二。(2) 計(jì)數(shù)器電路選擇與論證方案一:采用74ls290十進(jìn)制異步清零、異步置位芯片;方案二:采用cc4518十進(jìn)制異步清零芯片。由于cc4518是雙集成bcd計(jì)數(shù),使用它既節(jié)約成本又使線路簡(jiǎn)單,所以我采用cc4518設(shè)計(jì)計(jì)數(shù)電路。(3) 譯碼顯示電路選擇與論證方案一:采用74ls48四線七段譯碼器/驅(qū)動(dòng)器;方案二:采用cc4511四線七段鎖存譯碼器/驅(qū)動(dòng)器。由于cc4511是coms芯片具有低功耗,

9、電壓范圍寬等優(yōu)點(diǎn),所以采用方案二。2.2設(shè)計(jì)步驟與方法2.2.1 ne555脈沖電路產(chǎn)生(1) 555構(gòu)成多諧振蕩器(f=1hz) 圖2-1 555芯片引腳圖表2-1 引出端功能符號(hào)說(shuō)明(2) 555構(gòu)成多諧振蕩器電路電路圖多諧振蕩器工作原理:vcc通過(guò)r1、r2向c充電,在電容充電vc:0v-vcc/3之間,vo輸出1;vcc通過(guò)r1、r2繼續(xù)向c充電,在電容充電vc:vcc/3-2vcc/3之間,vo保持1不變;當(dāng)vc=2vcc/3時(shí),vo由1翻轉(zhuǎn)為0。t導(dǎo)通,電容c經(jīng)r2、t放電;電容通過(guò)r2和三極管t繼續(xù)放電,在電容放電vc:vcc2/3-vcc/3之間,vo保持0不變;當(dāng)vc降至vc

10、c/3時(shí),使得vo回到1,截止電容,c再充電;如此循環(huán)。下圖2-2為多諧振蕩器的工作原理圖。 圖2-2諧振蕩器電路原理圖 圖22 多諧振蕩器電路多振蕩器電路中各參數(shù)計(jì)算: 電容充電時(shí)間tw1=0.7 (r1+r2) c 電容放電時(shí)間tw1=0.7 r2 c 脈沖周期t=tw1+tw2=0.7 (r1+2r2) c 頻率f=1/t=1.43/(r1+2r2) c 占空比q=tw1/t=(r1+r2)/(r1+2r2)(3) 波形記錄從圖2-3多諧振蕩器波形圖中可以看出,多諧振蕩器的特點(diǎn)是:不需外觸發(fā)的自激振蕩器;無(wú)穩(wěn)定狀態(tài),均為暫穩(wěn)態(tài);矩形波中含有豐富的高次諧波,習(xí)慣稱多諧振蕩器。圖2-3多諧振

11、蕩器波形2.2.2計(jì)數(shù)器電路 用cc4518計(jì)數(shù)器實(shí)現(xiàn)60進(jìn)制和24進(jìn)制,然后進(jìn)行級(jí)聯(lián)組成秒、分、時(shí)計(jì)數(shù)。(1) 芯片介紹 (國(guó)外同類型號(hào): cd4518、mc4518)圖2-4 cc4518管腳圖圖2-5功能表 (硬件芯片)圖2-6 計(jì)數(shù)狀態(tài)表圖2-7 cc4518 60進(jìn)制計(jì)數(shù)電路圖及輸出波形(個(gè)位向十位的進(jìn)位脈沖,需用q4的下降沿,接en端。)圖2-8 cc4518 24進(jìn)制計(jì)數(shù)電路圖及輸出波形(2) 功能介紹cc4518為雙bcd同步加法計(jì)數(shù)器,該器件由兩個(gè)相同的同步4級(jí)計(jì)數(shù)器組成。計(jì)數(shù)器為d觸發(fā)器。具有內(nèi)部可交換cp和en線,用于在始終上升沿或下降沿加法計(jì)數(shù)。在單個(gè)單元運(yùn)算中,en輸

12、入保持高電平,且在cp上升沿進(jìn)位。cr為高電平時(shí),計(jì)數(shù)清零。計(jì)數(shù)器在脈動(dòng)模式可級(jí)聯(lián),通過(guò)將q3鏈接至下一個(gè)計(jì)數(shù)器的en輸入端實(shí)現(xiàn)級(jí)聯(lián)。同時(shí)后者的cp輸入保持低電平。(3) 用cc4518構(gòu)成60進(jìn)制、24進(jìn)制計(jì)數(shù)單元電路60進(jìn)制計(jì)數(shù)電路工作原理:根據(jù)cc4518的芯片功能,當(dāng)clk端接低電平時(shí)en端為下降沿加法計(jì)數(shù)。個(gè)位向十位的進(jìn)位脈沖,利用q3的下降沿,接en端。每當(dāng)個(gè)位計(jì)數(shù)滿9后就使高片計(jì)1從而完成計(jì)數(shù)。要完成60進(jìn)制,只需十位計(jì)數(shù)到0110,即q1、q2接與門再對(duì)十位進(jìn)行清零即可。24進(jìn)制計(jì)數(shù)電路工作原理:24進(jìn)制計(jì)數(shù)電路工作原理與60 進(jìn)制計(jì)數(shù)電路工作原理基本思想相同,都是利用clk端

13、接低電平時(shí)en端為下降沿加法計(jì)數(shù)。個(gè)位向十位的進(jìn)位脈沖,利用q3的下降沿,接en端。每當(dāng)個(gè)位計(jì)數(shù)滿1001b后就使高片計(jì)1完成計(jì)數(shù)。不同之處在于此電路是計(jì)數(shù)到24。此時(shí)的清零工作分別要牽涉到十位和個(gè)位(0010 0100)利用個(gè)位的q2和十位的q1經(jīng)過(guò)一個(gè)與門同時(shí)對(duì)兩片cc4518芯片同時(shí)清零。完成24進(jìn)制的計(jì)數(shù)。2.2.3譯碼顯示電路用cc4511實(shí)現(xiàn)譯碼:用lg5011ah共陰數(shù)碼管實(shí)現(xiàn)顯示電路。1.芯片介紹(國(guó)外同類型號(hào):cd4511、mc4511) 圖2-9 cc4511管腳圖 功能如下:(1)lt可檢查七段顯示器各字段是否能正常發(fā)光。當(dāng)lt = 0時(shí),不論q0q3狀態(tài)如何,七段全部顯

14、示,以檢查各字段的好壞。(2)消隱功能: 當(dāng)bi=0時(shí),輸出ab都為低電平,各字段熄滅。(3)數(shù)碼顯示: 當(dāng)bi=1 lt=1 le=0,譯碼器工作,當(dāng)3210端輸入8421bcd碼時(shí),譯碼器對(duì)應(yīng)的輸出端輸出高電平1,數(shù)碼顯示相應(yīng)的數(shù)字。(4)鎖存:在le從“0”轉(zhuǎn)換到“1”時(shí),輸出顯示由輸入的bcd碼決定。顯示輸 入輸 出lebiltdcbaabcdefg001100001111110101100010110000201100101101101301100111111011401101000110011501101011011011601101100011111701101111110000

15、801110001111111901110011110011消隱011101000000001111消隱010000000鎖存111鎖存燈測(cè)試01111111圖2-10 cc4511引腳功能表功能說(shuō)明: lg5011是共陰級(jí)數(shù)碼管,所有的數(shù)碼管的陰極作為公共端,并一起接地。當(dāng)給相應(yīng)管腳高電平是該段管被點(diǎn)亮,從而顯示不同的數(shù)值。下圖為lg5011ah的管腳圖和管腳功能。 圖2-11 lg5011ah管腳圖 圖2-12 lg5011ah管腳功能2.譯碼、顯示電路框圖及工作原理圖2-13 譯碼、顯示電路圖2-14譯碼、顯示單元電路工作原理及功能表1)數(shù)碼管內(nèi)部已將3端、8端連接在一起,所以使用時(shí),3

16、端接地,8端懸空)。2)限流電阻計(jì)算:數(shù)碼管的工作電壓為u(手冊(cè)數(shù)據(jù)),工作電流為i(手冊(cè)數(shù)據(jù)),譯碼器輸出的高電平uag,則限流電阻上的電壓應(yīng)該為uu,限流電阻阻值: r(uagu)i3)兩片cc4511用于進(jìn)行譯碼,分別代表所記錄數(shù)據(jù)的個(gè)位和十位。當(dāng)從4511的a、b、c、d四個(gè)輸入端口輸入一個(gè)二進(jìn)制數(shù)據(jù)后會(huì)從輸出口輸出相應(yīng)的十進(jìn)制數(shù)據(jù)。在對(duì)十進(jìn)制數(shù)進(jìn)行顯示時(shí)只需把輸出端與相應(yīng)數(shù)碼管的輸入端連接好,即可進(jìn)行顯示。4)24進(jìn)制的數(shù)碼顯示與60進(jìn)制的數(shù)碼顯示在譯碼與顯示電路方面所用的電路是同一電路,而具體實(shí)現(xiàn)不同進(jìn)制計(jì)數(shù)是通過(guò)cc4518在不同時(shí)刻對(duì)芯片清零來(lái)實(shí)現(xiàn)的。5)其中數(shù)碼管的顯示,加入

17、了限流電阻。防止因電路中的電流過(guò)大而燒壞數(shù)碼管,對(duì)其起到保護(hù)作用。2.2.4校時(shí)電路(1) 校時(shí)電路原理圖及功能表 圖2-15校時(shí)電路原理圖及功能表(2)工作原理:當(dāng)正常計(jì)時(shí)時(shí),分十位和秒十位進(jìn)位脈沖分別通過(guò)與非門進(jìn)入電路進(jìn)行正常的計(jì)時(shí),校時(shí)脈沖被封鎖。而當(dāng)要校時(shí)時(shí),s1或s2開關(guān)閉合,這是相應(yīng)的分十位或秒十位脈沖被封鎖,校時(shí)脈沖通過(guò)與非門進(jìn)入電路完成校時(shí)功能。2.3數(shù)字鐘仿真2.3.1數(shù)字鐘電路原理圖(見(jiàn)附錄4)2.3.2系統(tǒng)整體仿真圖(見(jiàn)附錄6) 2.3.3 pcb板圖(見(jiàn)附錄2)3 數(shù)字鐘的擴(kuò)展功能3.1定點(diǎn)報(bào)時(shí)(1) 鬧時(shí)功能電路圖3-1定時(shí)報(bào)時(shí)電路工作原理:例如:上午7點(diǎn)59分發(fā)出鬧

18、時(shí)信號(hào),持續(xù)1分鐘7時(shí)59分對(duì)應(yīng)數(shù)字鐘的計(jì)時(shí)狀態(tài)為 0111/0101/1001 時(shí)個(gè)位 分十位 分個(gè)位鬧時(shí)控制信號(hào)k=(q2q1q0)*(q2q0)*(q3q0)=1(注:m=1(為上午的信號(hào)輸出)(2)定點(diǎn)報(bào)時(shí)電路下圖為定點(diǎn)報(bào)時(shí)實(shí)物焊接電路圖圖3-2定時(shí)報(bào)時(shí)實(shí)物焊接圖3.2 12歸1(1)12歸1計(jì)數(shù)電路:(2) 實(shí)現(xiàn)12歸1的電路圖實(shí)現(xiàn)12歸1的兩種方案即為圖1和圖2。圖1圖2(3)工作原理圖1:由dff1的q和cc4518的低三位做個(gè)位,d觸發(fā)器2的q做十位,時(shí)鐘脈沖經(jīng)dff1分頻后給cc4518的時(shí)鐘輸入端cp1b。上電后全為0。當(dāng)個(gè)位1010時(shí),經(jīng)與門產(chǎn)生高電平對(duì)cc4518的輸出

19、端清零,dff1的q翻轉(zhuǎn)為高電平,個(gè)位為1,同時(shí)高電平信號(hào)經(jīng)反向后對(duì)dff2清零,十位清零。實(shí)現(xiàn)12歸1的計(jì)數(shù)。圖2:因?yàn)閏c4518為雙計(jì)數(shù)器,可用cc4518另一個(gè)計(jì)數(shù)器代替方案一中的dff2,原理同方案一。4 數(shù)字鐘的焊接及注意事項(xiàng)4.1焊接元件清單(見(jiàn)附錄3)4.2實(shí)際焊接的印刷電路板元件分布圖(見(jiàn)附錄5)4.3焊接注意事項(xiàng)1檢查印刷線路板,是否有斷線、短路等。2第一步焊in4148二極管(黑圈為負(fù)極), in4007(in4002)二極管(白圈為負(fù)極),兩個(gè)二極管要平行在一條線上。3第二步焊限流電阻,電阻黃色為有效環(huán)需放在上方,同時(shí)擺放要整齊(為了保護(hù)發(fā)光數(shù)碼管防止電流過(guò)大而損壞數(shù)碼

20、管需加,電源電壓低可以取值小些,電源電壓高可以取值大些)。4第三步焊集成電路座,座的缺口為標(biāo)志,方向應(yīng)該在左邊。 5 電解電容長(zhǎng)腳為正短腳為負(fù),獨(dú)石電容不分正負(fù)極。 6 發(fā)光二極管有正負(fù)極之分,長(zhǎng)腳為正短腳為負(fù),不要接反。 7 安插集成芯片時(shí)要看清候型號(hào),注意芯片缺口方向應(yīng)該在左邊,缺口對(duì)應(yīng)的左下方為1管腳。 8 開關(guān)(k1)調(diào)整秒,開關(guān)(k2)調(diào)整分,開關(guān)(k3)調(diào)整小時(shí),開關(guān)k4為暫停,通過(guò)調(diào)整使時(shí)鐘的秒、分、時(shí)走時(shí)與標(biāo)準(zhǔn)時(shí)間同步。 9 芯片功能簡(jiǎn)介: cc4518為雙四位bcd同步加計(jì)數(shù)器; cc4511為七段譯碼驅(qū)動(dòng)/鎖存器;cc4060為二進(jìn)制14位計(jì)數(shù)分頻器;cc4040二進(jìn)制12

21、位計(jì)數(shù)分頻器; lg5011ah為共陰數(shù)碼管。 10 數(shù)字鐘焊好通電檢查,如果數(shù)碼管不亮,需檢查整個(gè)地線是否通、3號(hào)管腳是否接地。 11 顯示不正常,需要用萬(wàn)用表檢查每個(gè)芯片的工作電源,(紅表筆放在14管腳、黑表筆放在7管腳)。12 擴(kuò)展板與主板用排線相連,擴(kuò)展板上的各連接線要求走水平或垂直且直角。5 系統(tǒng)軟、硬件調(diào)試5.1系統(tǒng)軟件調(diào)試過(guò)程中遇到的問(wèn)題以及排查經(jīng)過(guò)在做ewb仿真時(shí)我們要對(duì)電路進(jìn)行消“1”,但由于可以有不同的做法,因而容易弄混。仿真時(shí)通常出現(xiàn)的錯(cuò)誤是接錯(cuò)線,接錯(cuò)線后就不能得到結(jié)果。遇到這種問(wèn)題后我們就只能一根一根去看,所以很多時(shí)候微小的錯(cuò)誤會(huì)造成很多的麻煩。5.2系統(tǒng)硬件調(diào)試過(guò)程

22、中遇到的問(wèn)題以及排查經(jīng)過(guò)在進(jìn)行整體電路連接之前,應(yīng)對(duì)各部分的電路進(jìn)行逐一安裝和調(diào)試。(1) 計(jì)數(shù)器的安裝和調(diào)試按電路連接,輸出可接發(fā)光二極管。觀察在cp作用下輸出端發(fā)光二極管的狀態(tài)變化情況,驗(yàn)證是否為60進(jìn)制計(jì)數(shù)器。調(diào)試過(guò)程中應(yīng)注意的幾個(gè)問(wèn)題:1) 根據(jù)cc4518的功能表,當(dāng)觸發(fā)脈沖由cp端輸入時(shí),en端應(yīng)接高電平,此時(shí)cp上升觸發(fā);當(dāng)觸發(fā)脈沖由en端輸入時(shí),cp輸入端接低電平,此時(shí)cp下降沿觸發(fā)。2) cr為異步復(fù)位端,高電平有效。當(dāng)cr為高電平時(shí),計(jì)數(shù)器復(fù)位,正常計(jì)數(shù)時(shí),應(yīng)使cr=0。(2)譯碼顯示電路的安裝和調(diào)試按電路在實(shí)驗(yàn)板上連線,它是由十進(jìn)制加法計(jì)數(shù)器cc4518,bcd-7段鎖存

23、譯碼/驅(qū)動(dòng)器cc4511和led七段數(shù)碼管組成。觀察在cp作用下數(shù)碼管的顯示情況。需要注意的是,cc4511正常工作時(shí),lt=bi應(yīng)為高電平,le應(yīng)為低電平。(3)校時(shí)電路的安裝和調(diào)試將ne555電路輸出接發(fā)光二極管。撥動(dòng)開關(guān),觀察在cp作用下,輸出端發(fā)光二極管的顯示情況。通過(guò)調(diào)節(jié)ne555電路的微調(diào)電位器來(lái)進(jìn)行時(shí)鐘頻率調(diào)節(jié),以至和北京時(shí)間一致即可。6 總結(jié)及體會(huì)通過(guò)這次數(shù)字電子鐘的課程設(shè)計(jì),我們把所學(xué)到的東西與實(shí)踐相結(jié)合。從中對(duì)我們所學(xué)的知識(shí)有了更深一步的理解,而且更進(jìn)一步地熟悉了芯片的結(jié)構(gòu)及掌握了各芯片的工作原理和其具體的使用方法。也鍛煉了自己獨(dú)立思考問(wèn)題的能力和通過(guò)查看相關(guān)資料來(lái)解決問(wèn)題的習(xí)慣。雖然這只是一次簡(jiǎn)單的課程設(shè)計(jì),但通過(guò)這次課程設(shè)計(jì)我們了解了課程設(shè)計(jì)的一般步驟,和設(shè)計(jì)中應(yīng)注意的問(wèn)題。設(shè)計(jì)本身并不是有很重要的意義,而是同學(xué)們

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論