單片機(jī)課程設(shè)計(jì)(論文)基于單片機(jī)的函數(shù)信號發(fā)生器設(shè)計(jì)_第1頁
單片機(jī)課程設(shè)計(jì)(論文)基于單片機(jī)的函數(shù)信號發(fā)生器設(shè)計(jì)_第2頁
單片機(jī)課程設(shè)計(jì)(論文)基于單片機(jī)的函數(shù)信號發(fā)生器設(shè)計(jì)_第3頁
單片機(jī)課程設(shè)計(jì)(論文)基于單片機(jī)的函數(shù)信號發(fā)生器設(shè)計(jì)_第4頁
單片機(jī)課程設(shè)計(jì)(論文)基于單片機(jī)的函數(shù)信號發(fā)生器設(shè)計(jì)_第5頁
已閱讀5頁,還剩21頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、1 摘 要 本文介紹一種用 at89c51 單片機(jī)構(gòu)成的波形發(fā)生器,可產(chǎn)生方波、三角波、 正弦波、鋸齒波等多種波形,波形的周期可用程序改變,并可根據(jù)需要選擇單 極性輸出或雙極性輸出,具有線路簡單、結(jié)構(gòu)緊湊、性能優(yōu)越等特點(diǎn)。文章給 出了源代碼,通過仿真測試,其性能指標(biāo)達(dá)到了設(shè)計(jì)要求。 關(guān)鍵詞:單片機(jī);dac;信號發(fā)生器 2 目 錄 摘 要 . 目 錄 . 第一章 緒論 . 1.1 單片機(jī)概述 . 1.2 信號發(fā)生器的分類 . 1.3 研究內(nèi)容 . 第二章 方案的設(shè)計(jì)與選擇 . 2.1 方案的比較 . 2.2 設(shè)計(jì)原理 . 2.3 設(shè)計(jì)思想 . 2.4 設(shè)計(jì)功能 . 第三章 硬件設(shè)計(jì) . 3.1

2、硬件原理框圖 . 3.2 主控電路 . 3.3 數(shù)、模轉(zhuǎn)換電路 . 3.4 按鍵接口電路 . 3.5 時鐘電路 . 3.6 顯示電路 . 第四章 軟件設(shè)計(jì) . 4.1 程序流程圖 . 第五章 總結(jié)與展望 . 致 謝 . 參考文獻(xiàn) . 附錄 1 電路原理圖 . 附錄 2 源程序. 附錄 3 器件清單. 3 第一章 緒論 1.1 單片機(jī)概述 隨著大規(guī)模集成電路技術(shù)的發(fā)展,中央處理器(cpu)、隨機(jī)存取存儲器(ram)、 只讀存儲器(rom)、(i/o)接口、定時器/計(jì)數(shù)器和串行通信接口,以及其他一些計(jì) 算機(jī)外圍電路等均可集成在一塊芯片上構(gòu)成單片微型計(jì)算機(jī),簡稱為單片機(jī)。單片 機(jī)具有體積小、成本低,

3、性能穩(wěn)定、使用壽命長等特點(diǎn)。其最明顯的優(yōu)勢就是可以 嵌入到各種儀器、設(shè)備中,這是其他計(jì)算機(jī)和網(wǎng)絡(luò)都無法做到的9,10。 1.2 信號發(fā)生器的分類 信號發(fā)生器應(yīng)用廣泛,種類繁多,性能各異,分類也不盡一致。按照頻率范圍 分類可以分為:超低頻信號發(fā)生器、低頻信號發(fā)生器、視頻信號發(fā)生器、高頻波形 發(fā)生器、甚高頻波形發(fā)生器和超高頻信號發(fā)生器。按照輸出波形分類可以分為:正 弦信號發(fā)生器和非正弦信號發(fā)生器,非正弦信號發(fā)生器又包括:脈沖信號發(fā)生器, 函數(shù)信號發(fā)生器、掃頻信號發(fā)生器、數(shù)字序列波形發(fā)生器、圖形信號發(fā)生器、噪聲 信號發(fā)生器等。按照信號發(fā)生器性能指標(biāo)可以分為一般信號發(fā)生器和標(biāo)準(zhǔn)信號發(fā)生 器。前者指對輸

4、出信號的頻率、幅度的準(zhǔn)確度和穩(wěn)定度以及波形失真等要求不高的 一類信號發(fā)生器。后者是指其輸出信號的頻率、幅度、調(diào)制系數(shù)等在一定范圍內(nèi)連 續(xù)可調(diào),并且讀數(shù)準(zhǔn)確、穩(wěn)定、屏蔽良好的中、高檔信號發(fā)生器。 1.3 研究內(nèi)容 本文是做基于單片機(jī)的信號發(fā)生器的設(shè)計(jì),將采用編程的方法來實(shí)現(xiàn)三角波、鋸齒 波、矩形波、正弦波的發(fā)生。根據(jù)設(shè)計(jì)的要求,對各種波形的頻率和幅度進(jìn)行程序 的編寫,并將所寫程序裝入單片機(jī)的程序存儲器中。在程序運(yùn)行中,當(dāng)接收到來自 外界的命令,需要輸出某種波形時再調(diào)用相應(yīng)的中斷服務(wù)子程序和波形發(fā)生程序, 經(jīng)電路的數(shù)/模轉(zhuǎn)換器和運(yùn)算放大器處理后,從信號發(fā)生器的輸出端口輸出。 4 第二章 方案的設(shè)計(jì)

5、與選擇 2.1 方案的比較 方案一:采用單片函數(shù)發(fā)生器(如 8038) ,8038 可同時產(chǎn)生正弦波、方波等, 而且方法簡單易行,用 d/a 轉(zhuǎn)換器的輸出來改變調(diào)制電壓,也可以實(shí)現(xiàn)數(shù)控調(diào)整頻 率,但產(chǎn)生信號的頻率穩(wěn)定度不高。 方案二:采用鎖相式頻率合成器,利用鎖相環(huán),將壓控振蕩器(vco)的輸出 頻率鎖定在所需頻率上,該方案性能良好,但難以達(dá)到輸出頻率覆蓋系數(shù)的要求, 且電路復(fù)雜。 方案三:采用單片機(jī)編程的方法來實(shí)現(xiàn)。該方法可以通過編程的方法來控制信 號波形的頻率和幅度,而且在硬件電路不變的情況下,通過改變程序來實(shí)現(xiàn)頻率的 變換。此外,由于通過編程方法產(chǎn)生的是數(shù)字信號,所以信號的精度可以做的很

6、高。 鑒于方案一的信號頻率不夠穩(wěn)定和方案二的電路復(fù)雜,頻率覆蓋系數(shù)難以達(dá)標(biāo) 等缺點(diǎn),所以決定采用方案三的設(shè)計(jì)方法。它不僅采用軟硬件結(jié)合,軟件控制硬件 的方法來實(shí)現(xiàn),使得信號頻率的穩(wěn)定性和精度的準(zhǔn)確性得以保證,而且它使用的幾 種元器件都是常用的元器件,容易得到,且價格便宜,使得硬件的開銷達(dá)到最省。 2.2 設(shè)計(jì)原理 數(shù)字信號可以通過數(shù)/模轉(zhuǎn)換器轉(zhuǎn)換成模擬信號,因此可通過產(chǎn)生數(shù)字信號再 轉(zhuǎn)換成模擬信號的方法來獲得所需要的波形。89c51 單片機(jī)本身就是一個完整的微 型計(jì)算機(jī),具有組成微型計(jì)算機(jī)的各部分部件:中央處理器 cpu、隨機(jī)存取存儲器 ram、只讀存儲器 rom、i/o 接口電路、定時器/計(jì)

7、數(shù)器以及串行通訊接口等,只要 將 89c51 再配置鍵盤及其接口、顯示器及其接口、數(shù)模轉(zhuǎn)換及波形輸出、指示燈及 其接口等四部分,即可構(gòu)成所需的波形發(fā)生器,其信號發(fā)生器構(gòu)成原理框圖如圖 2.1 所示。 圖 2.1 信號發(fā)生器原理框圖 89c51 是整個波形發(fā)生器的核心部分,通過程序的編寫和執(zhí)行,產(chǎn)生各種各樣 的信號,并從鍵盤接收數(shù)據(jù),進(jìn)行各種功能的轉(zhuǎn)換和信號幅度的調(diào)節(jié)。當(dāng)數(shù)字信號 89c51 單片機(jī) 接口 電路 d/a 轉(zhuǎn)換器 濾波放 大 輸出 5 經(jīng)過接口電路到達(dá)轉(zhuǎn)換電路,將其轉(zhuǎn)換成模擬信號也就是所需要的輸出波形。 6 2.3 設(shè)計(jì)思想 (1)利用單片機(jī)產(chǎn)生方波、正弦波、三角波和鋸齒波等信號波

8、形,信號的 頻率和幅度可變。 (2)將一個周期的信號分離成 256 個點(diǎn)(按 x 軸等分) ,每兩點(diǎn)之間的時 間間隔為t,用單片機(jī)的定時器產(chǎn)生,其表示式為:t=t/256。 如果單片機(jī)的晶振為 12mhz,采用定時器方式 0,則定時器的初值為: x=213t/tmec (2.1) 定時時間常數(shù)為: tl =(8192t)/mod256 (2.2) th=(8192t)/256 (2.3) mod32 表示除 32 取余數(shù) (3)正弦波的模擬信號是 d/a 轉(zhuǎn)換器的模擬量輸出,其計(jì)算公式為: y=(a/2sint)+a/2 (其中 a=vref) (2.4) t=nt (n=1256) (2.5

9、) 那么對應(yīng)著存放在計(jì)算機(jī)里的這一點(diǎn)的數(shù)據(jù)為: (2.6) (4) 一個周期被分離成 256 個點(diǎn),對應(yīng)的四種波形的 256 個數(shù)據(jù)存放在以 tab1-tab4 為起始地址的存 儲器中。 2.4 設(shè)計(jì)功能 (1)本方案利用 8155 擴(kuò)展 8 個獨(dú)立式按鍵,6 個 led 顯示器。其中 “s0”號鍵代表方波輸出, “s1”號鍵代表正弦波輸出, “s2”號鍵代表三角波 輸出, “s3” 號鍵代表鋸齒波輸出。 (2) “s4”號鍵為 10hz 的頻率信號, “s5”號鍵為 100hz 的頻率信號, “s6”號鍵為 500hz 的頻率信號, “s7”號鍵為 1khz 的頻率信號,6 個 led 顯

10、示器輸出信號的頻率值,選用共陽極 led。 (3)利用兩片 dac0832 實(shí)現(xiàn)幅度可調(diào)的信號源, (其中一片用來調(diào)節(jié)幅度, 另外一片用來實(shí)現(xiàn)信號源的輸出) 。 (4)頻率范圍:101000hz。 (5)輸出波形幅度為 05v。 (sin1) 255 (255)/ 2 t diya 7 第三章 硬件設(shè)計(jì) 3.1 硬件原理框圖 硬件原理方框圖如圖 3.1 所示。 圖 3.1 硬件原理框圖 3.2 主控電路 at89c51 單處機(jī)內(nèi)部設(shè)置兩個 16 位可編程的定時器/計(jì)數(shù)器 t0 和 t1,它們具 有計(jì)數(shù)器方式和定時器方式兩種工作方式及 4 種工作模式。在波形發(fā)生器中,將其 作定時器使用,用它來精

11、確地確定波形的兩個采樣點(diǎn)輸出之間的延遲時間。模式 1 采用的是 16 位計(jì)數(shù)器,當(dāng) t0 或 t1 被允許計(jì)數(shù)后,從初值開始加計(jì)數(shù),最高位產(chǎn) 生溢出時向 cpu 請求中斷。 中斷系統(tǒng)是使處理器具有對外界異步事件的處理能力而設(shè)置的。當(dāng)中央處理器 cpu 正在處理某件事的時候外界發(fā)生了緊急事件,要求 cpu 暫停當(dāng)前的工作,轉(zhuǎn) 而去處理這個緊急事件。在波形發(fā)生器中,只用到片內(nèi)定時器計(jì)數(shù)器溢出時產(chǎn)生 的中斷請求,即是在 at89c51 輸出一個波形采樣點(diǎn)信號后,接著啟動定時器,在 定時器未產(chǎn)生中斷之前,at89c51 等待,直到定時器計(jì)時結(jié)束,產(chǎn)生中斷請求, at89c51 響應(yīng)中斷,接著輸出下一個

12、采樣點(diǎn)信號,如此循環(huán)產(chǎn)生所需要的信號波形 6。 如圖 3.2 所示,at89c51 從 p0 口接收來自鍵盤的信號,并通過 p2 口輸出一些 控制信號,將其輸入到 8155 的信號控制端,用于控制其信號的輸入、輸出。如果 有鍵按下,則在讀控制端會產(chǎn)生一個讀信號,使單片機(jī)讀入信號。如果有信號輸出, 則在寫控制端產(chǎn)生一個寫信號,并將所要輸出的信號通過 8155 的 pb 口輸出,并在 數(shù)碼管上顯示出來。 單 片 機(jī)鍵盤 電路 顯示 電路 復(fù)位 電路 數(shù)/模轉(zhuǎn) 換電路 放大 電路 波形 輸出 8 圖 3.2 主控電路圖 3.3 數(shù)/模轉(zhuǎn)換電路 由于單片機(jī)產(chǎn)生的是數(shù)字信號,要想得到所需要的波形,就要把

13、數(shù)字信號轉(zhuǎn)換 成模擬信號,所以該文選用價格低廉、接口簡單、轉(zhuǎn)換控制容易并具有 8 位分辨率 的數(shù)模轉(zhuǎn)換器 dac0832。dac0832 主要由 8 位輸入寄存器、8 位 dac 寄存器、8 位 d/a 轉(zhuǎn)換器以及輸入控制電路四部分組成。但實(shí)際上,dac0832 輸出的電量也 不是真正能連續(xù)可調(diào),而是以其絕對分辨率為單位增減,是準(zhǔn)模擬量的輸出。 dac0832 是電流型輸出,在應(yīng)用時外接運(yùn)放使之成為電壓型輸出。 由圖 3.3 可知,dac0832 的片選地址為 7fffh,當(dāng) p25 有效時,若 p0 口向其 送的數(shù)據(jù)為 00h, 則 u1 的輸出電壓為 0v;若 p0 口向其送的數(shù)據(jù)為 0f

14、fh 時, 則 u1 的輸出電壓為-5v. 故當(dāng) u1 輸出電壓為 0v 時,由公式 得:vout = - 5v.當(dāng)輸出電壓為- 5v 時,可得:vout = +5v,所以輸出波形的電壓變 化范圍為- 5v+ 5v. 故可推得,當(dāng) p0 所送數(shù)據(jù)為 80h 時,vout為 0v4。 圖 3.3 數(shù)模轉(zhuǎn)換電路 3.4 按鍵接口電路 123 0 123 uuu rrr 9 圖 3.4 為鍵盤接口電路的原理圖,圖中鍵盤和 8155 的 pa 口相連,at89c51 的 p0 口和 8155 的 d0 口相連,at89c51 不斷的掃描鍵盤,看是否有鍵按下,如有, 則根據(jù)相應(yīng)按鍵作出反應(yīng)。其中“s0”

15、號鍵代表方波輸出, “s1”號鍵代表正弦波 輸出, “s2”號鍵代表三角波輸出。 “s3”號鍵代表鋸齒波輸出, “s4”號鍵為 10hz 的頻率信號, “s5”號鍵為 100hz 的頻率信號, “s6”號鍵為 500hz 的頻率信 號, “s7”號鍵為 1khz 的頻率信號3。 圖 3.4 按鍵接口 3.5 時鐘電路 8051 單片機(jī)有兩個引腳(xtal1,xtal2)用于外接石英晶體和微調(diào)電容, 從而構(gòu)成時鐘電路,其電路圖如圖 3.5 所示。 電容 c1、c2 對振蕩頻率有穩(wěn)定作用,其容量的選擇為 30pf,振蕩器選擇頻率 為 12mhz 的石英晶體。由于頻率較大時,三角波、正弦波、鋸齒波中

16、每一點(diǎn)的延 時時間為幾微秒,故延時時間還要加上指令時間才能獲得較大的頻率波形9。 圖 3.5 時鐘電路 10 3.6 顯示電路 顯示電路是用來顯示波形信號的頻率,使得整個系統(tǒng)更加合理,從經(jīng)濟(jì)的 角度出發(fā),所以顯示器件采用 led 數(shù)碼管顯示器。而且 led 數(shù)碼管是采用共陽 極接法,當(dāng)主控端口輸出一個低電平后,與其相對應(yīng)的數(shù)碼管即變亮,顯示所 需數(shù)據(jù)。其器件模型如圖 3.6 所示。 圖 3.6 led 顯示電路 11 第四章 軟件設(shè)計(jì) 4.1 程序流程圖 本文中子程序的調(diào)用是通過按鍵的選擇來實(shí)現(xiàn),在取得按鍵相應(yīng)的鍵值后,啟 動計(jì)時器和相應(yīng)的中斷服務(wù)程序,再直接查詢程序中預(yù)先設(shè)置的數(shù)據(jù)值,通過轉(zhuǎn)

17、換 輸出相應(yīng)的電壓,從而形成所需的各種波形。 主程序的流程圖如圖 4.1 所示,在程序開始運(yùn)行之后,首先是對 8155 進(jìn)行初始 化,之后判斷信號頻率值,如符合所需的頻率,則重置時間常數(shù),并通過顯示器顯 示出來,不符則返回。在中斷結(jié)束后,還要來判斷波形是否符合,如符合,則顯示 其頻率,不符則返回,重新判斷。 圖 4.1 主程序流程圖 12 14 圖 4.2 為各波形子程序的流程圖。如圖所示,在中斷服務(wù)子程序開始后, 通過判斷來確定各種波形的輸出,當(dāng)判斷選擇的不是方波后,則轉(zhuǎn)向?qū)φ也?的判斷,如此反復(fù)。如果選擇的是方波,則用查表的方法求出相應(yīng)的數(shù)據(jù),并 通過 d/a 轉(zhuǎn)換 器將數(shù)據(jù)轉(zhuǎn)換成模擬信

18、號,形成所需波形信號。 圖 4.2 子程序流程圖 13 14 綜合實(shí)驗(yàn)總結(jié) 課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識,發(fā)現(xiàn)發(fā)現(xiàn),提出提出,分析和解決實(shí)際問分析和解決實(shí)際問 題題,鍛煉實(shí)踐能力的重要環(huán)節(jié)鍛煉實(shí)踐能力的重要環(huán)節(jié),是對學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過程是對學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過程.隨著隨著 科學(xué)技術(shù)發(fā)展的日新日異,單片機(jī)已經(jīng)成為當(dāng)今計(jì)算機(jī)應(yīng)用中空前活躍的領(lǐng)域,科學(xué)技術(shù)發(fā)展的日新日異,單片機(jī)已經(jīng)成為當(dāng)今計(jì)算機(jī)應(yīng)用中空前活躍的領(lǐng)域, 在生活中可以說得是無處不在。因此作為二十一世紀(jì)的大學(xué)來說掌握單片機(jī)的在生活中可以說得是無處不在。因此作為二十一世紀(jì)

19、的大學(xué)來說掌握單片機(jī)的 開發(fā)技術(shù)是十分重要的。開發(fā)技術(shù)是十分重要的。 回顧起此次單片機(jī)課程設(shè)計(jì),我仍感慨頗多,的確,從選題到定稿,回顧起此次單片機(jī)課程設(shè)計(jì),我仍感慨頗多,的確,從選題到定稿, 從理論到實(shí)踐,在好幾個星期的日子里,可以說得是苦多于甜,但是可以學(xué)到從理論到實(shí)踐,在好幾個星期的日子里,可以說得是苦多于甜,但是可以學(xué)到 很多很多的的東西,同時不僅可以鞏固了以前所學(xué)過的知識,而且學(xué)到了很多很多很多的的東西,同時不僅可以鞏固了以前所學(xué)過的知識,而且學(xué)到了很多 在書本上所沒有學(xué)到過的知識。通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)在書本上所沒有學(xué)到過的知識。通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際

20、相結(jié) 合是很重要的,只有理論知識是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識與實(shí)踐相合是很重要的,只有理論知識是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識與實(shí)踐相 結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實(shí)際動結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實(shí)際動 手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過程中遇到問題,可以說得是困難重重,手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過程中遇到問題,可以說得是困難重重, 這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設(shè)計(jì)的過程中發(fā)現(xiàn)這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設(shè)計(jì)的過程中發(fā)現(xiàn) 了自己的不足之處,對以前所學(xué)過的知識理解得

21、不夠深刻,掌握得不夠牢固,了自己的不足之處,對以前所學(xué)過的知識理解得不夠深刻,掌握得不夠牢固, 比如說不懂一些元器件的使用方法,對單片機(jī)匯編語言掌握得不好比如說不懂一些元器件的使用方法,對單片機(jī)匯編語言掌握得不好通過這通過這 次課程設(shè)計(jì)之后,一定把以前所學(xué)過的知識重新溫故。次課程設(shè)計(jì)之后,一定把以前所學(xué)過的知識重新溫故。 這次課程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中遇到了很多編程問題,最后這次課程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中遇到了很多編程問題,最后 在宋陽老師的辛勤指導(dǎo)下,終于游逆而解。同時,在宋陽老師那里我學(xué)得到很在宋陽老師的辛勤指導(dǎo)下,終于游逆而解。同時,在宋陽老師那里我學(xué)得到很 多實(shí)用的知識,在

22、次我表示感謝!同時,對給過我?guī)椭乃型瑢W(xué)和各位指導(dǎo)多實(shí)用的知識,在次我表示感謝!同時,對給過我?guī)椭乃型瑢W(xué)和各位指導(dǎo) 老師再次表示忠心的感謝!老師再次表示忠心的感謝! 14 參考文獻(xiàn) 1 程全.基于 at89c52 實(shí)現(xiàn)的多種波形發(fā)生器的設(shè)計(jì)j.周口師范學(xué)院學(xué)報(bào), 2005.22(5):5758. 2 周明德.微型計(jì)算機(jī)系統(tǒng)原理及應(yīng)用m.北京:清華大學(xué)出版社, 2002.341364. 3 劉樂善.微型計(jì)算機(jī)接口技術(shù)及應(yīng)用m.北京:北京航空航天大學(xué)出版社, 2001.258264. 4 童詩白.模擬電路技術(shù)基礎(chǔ)m.北京:高等教育出版社,2000.171202. 5 杜華.任意波形發(fā)生器及應(yīng)

23、用j.國外電子測量技術(shù),2005.1:3840. 6 張友德.單片微型機(jī)原理、應(yīng)用與實(shí)踐m.上海:復(fù)旦大學(xué)出版社, 2004.4044. 7 程朗.基于 8051 單片機(jī)的雙通道波形發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)j.計(jì)算機(jī)工程與應(yīng) 用,2004.8:100103. 8 張永瑞.電子測量技術(shù)基礎(chǔ)m.西安:西安電子科技大學(xué)出版社, 2006.61101. 9 李葉紫. mcs-51 單片機(jī)應(yīng)用教程m.北京:清華大學(xué)出版社,2004.232238. 附錄附錄 1 1 電路原理圖電路原理圖 15 附錄附錄 2 2 源程序源程序 源程序: org 0000h ajm main org 000bh ljmp tc0

24、org 0030h main:mov dptr,#9fffh 指向 dac0832(1) mov a,70h movx dptr,a dac0832(1)輸出 mov dptr,#7f00h 指向 8155 命令字端口地址 mov a,#06h 設(shè)置 a 口為輸入,b 口、c 口為輸出 movx dptr,a 送命令字 mov dptr,#7f01h 指向 a 口地址 movx a,dptr 讀入 a 口的開關(guān)數(shù)據(jù) jnb acc.4,k10h 判斷是否“4”號鍵,若是則轉(zhuǎn)輸出 10hz 信號 jnb acc.5,k100h 判斷是否“5”號鍵,若是則轉(zhuǎn)輸出 100hz 信 號 jnb acc

25、.6,k500h 判斷是否“6”號鍵,若是則轉(zhuǎn)輸出 500hz 信 號 jnb acc.7,k1k 判斷是否“7”號鍵,若是則轉(zhuǎn)輸出 1khz 信號 ajmp main led1: mov r3,#06h 設(shè)置 6 個 led 顯示 mov r2,#01h 選通第一位 led 數(shù)據(jù) mov r1,#30h 送顯示緩沖區(qū)首址 gn1:mov dptr,#7f03h 指向 c 口地址 mov a,r2 位選通數(shù)據(jù)送 a movx dptr,a 位選通數(shù)據(jù)送 c 口 rl a 選通下一位 mov r2,a 位選通數(shù)據(jù)送 r2 中保存 16 mov a, r1 取鍵值 mov dptr,#tab 送

26、led 顯示軟件譯碼表首址 movc a,a+dptr 查表求出鍵值顯示的段碼 mov dptr,#7f02h 指向 b 口地址 mov dptr,a 段碼送顯示 lcall loop1 調(diào)延時子程序 inc r1 指向下一位顯示緩沖區(qū)地址 djnz r3,gn1 循環(huán)顯示 6 個 led ret loop1:mov r4,#08h 延時子程序 loop:mov r5,#0a0h djnz r5,$ djnz r4,loop ret k10h:mov 30h,#00h 顯示 10hz mov 31h,#00h mov 32h,#00h mov 33h,#00h mov 34h,#01h mov

27、 35h,#00h lcall led1 調(diào)顯示子程序 mov tmod,#00h mov tl0,#15h mov th0,#9eh ajmp pd k100h:mov 30h,#00h 顯示 100hz mov 31h,#00h mov 32h,#00h mov 33h,#01h mov 34h,#00h 17 mov 35h,#00h lcall led1 調(diào)顯示子程序 mov tmod,#00h mov tl0,#08h mov th0,#0f6h ajmp pd k500h:mov 30h,#00h 顯示 500hz mov 31h,#00h mov 32h,#00h mov 33h

28、,#05h mov 34h,#00h mov 35h,#00h lcall led1 調(diào)顯示子程序 mov tmod,#00h mov tl0,#01h mov th0,#0feh ajmp pd k1k:mov 30h,#00h 顯示 1khz mov 31h,#00h mov 32h,#01h mov 33h,#00h mov 34h,#00h mov 35h,#00h lcall led1 調(diào)顯示子程序 mov tmod,#00h mov tl0,#01h mov th0,#0ffh pd:jnb acc.0,ke0 判斷是否“0”號鍵按下,若是則轉(zhuǎn)方波輸出 jnb acc.1,ke1

29、判斷是否“1”號鍵按下,若是則轉(zhuǎn)正弦方波輸出 jnb acc.2,ke2 判斷是否“2”號鍵按下,若是則轉(zhuǎn)三角波輸出 18 jnb acc.3,ke3 判斷是否“3”號鍵按下,若是則轉(zhuǎn)鋸齒波輸出 ljmp pd ke0:mov r7,#00h lcall led1 調(diào)顯示子程序 mov r6,#00h ajmp gn ke1:mov r7,#02h lcall led1 調(diào)顯示子程序 mov r6,#00h ajmp gn ke2:mov r7,#02h lcall led1 調(diào)顯示子程序 mov r6,#00h ajmp gn ke3:mov r7,#02h lcall led1 調(diào)顯示子程

30、序 mov r6,#00h gn: setb tr0 setb et0 setb ea lop1:jnb acc.4,k10h 判斷是否“4”號鍵,若是則轉(zhuǎn)輸出 10hz 信號 jnb acc.5,k100h 判斷是否“5”號鍵,若是則轉(zhuǎn)輸出 100hz 信號 jnb acc.6,k500h 判斷是否“6”號鍵,若是則轉(zhuǎn)輸出 500hz 信號 jnb acc.7,k1k 判斷是否“7”號鍵,若是則轉(zhuǎn)輸出 1khz 信號 ajmp lop1 tc0:cjne r7,#00h,tc1 發(fā)送方波程序 mov dptr,#tab1 送方波數(shù)據(jù)表首址 mov a,r6 發(fā)送數(shù)據(jù)寄存器 movc a,a+

31、dptr mov dptr,#0afffh 指向 dac0832(2) 19 movx dptr,a dac0832(2)輸出 mov a,r6 inc a mov r6,a cjne a,#32,ql1 mov r6,#00h ajmp ql1 tc1:cjne r7,#01h,tc2 發(fā)送正弦波程序 mov dptr,#tab2 送正弦波數(shù)據(jù)表首址 mov a,r6 movc a, a+dptr mov dptr,#0afffh 指向 dac0832(2) movx dptr,a dac0832(2)輸出 mov a,r6 inc a mov r6,a cjne a,#32,ql1 mov

32、 r6,#00h ajmp ql1 tc2:cjne r7,#02h,ql1 發(fā)送三角波程序 mov dptr,#tab3 送三角波數(shù)據(jù)表首址 mov a,r6 movc a,a+dptr mov dptr,#0afffh 指向 dac0832(2) movx dptr,a dac0832(2)輸出 mov a,r6 inc a mov r6,a cjne a,#32,ql1 mov r6,#00h 20 ajmp ql1 tc3:cjne r7,#03h,ql1 發(fā)送鋸齒波程序 mov dptr,#tab4 送鋸齒波數(shù)據(jù)表首址 mov a,r6 movc a, a+dptr mov dptr

33、,#0afffh 指向 dac0832(2) movx dptr,a dac0832(2)輸出 mov a,r6 inc a mov r6,a cjne a,#32,ql1 mov r6,#00h ql1: reti tab: db 0c0h,0f9h,0a4h,0b0h,99h,82h,0f8h,80h tab1: db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0f

34、fh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0

35、ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh db 0ffh,0ffh,0ffh,0ffh,0ffh,0ffh, 0ffh, 0ffh 19 db 00h, 00h, 00h,

36、 00h, 00h, 00h, 00h, 00h 22 db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00

37、h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h

38、, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 00h, 00h tab2: db 80h, 83h, 86h, 89h, 8dh,90h,93h,96h db 99h, 9ch, 9fh,0a2h,0a5h,0a8h,0abh,0aeh db 0b1h, 0b4h,0b7h,0bah,0bch,0bfh,0c2h,0c5h db 0c7h, 0cah,0cch,0cfh,0d1h, 0d4h,0d6h,0d8h db 0dah, 0ddh,0dfh,0e1h,0e3h, 0e5h,0e7h,0e9h db 0eah, 0ech,0eeh,0e

39、fh,0f1h, 0f2h,0f4h,0f5h db 0f6h, 0f7h, 0f8h,0f9h, 0fah, 0fbh,0fch,0fdh db 0fdh, 0feh,0ffh,0ffh,0ffh, 0ffh,0ffh,0ffh db 0ffh, 0ffh,0ffh,0ffh,0ffh, 0ffh,0feh,0fdh db 0fdh, 0fch,0fbh,0fah,0f9h, 0f8h,0f7h,0f6h db 0f5h, 0f4h,0f2h,0f1h,0efh, 0eeh,0ech,0eah db 0e9h, 0e7h,0e5h,0e3h,0e1h, 0deh,0ddh,0dah db 0

40、d8h, 0d6h,0d4h,0d1h,0cfh, 0cch,0cah,0c7h db 0c5h, 0c2h,0bfh,0bch,0bah, 0b7h,0b4h,0b1h db 0aeh, 0abh,0a8h,0a5h,0a2h, 9fh, 9ch, 99h db 96h, 93h, 90h, 8dh, 89h, 86h, 83h, 80h db 80h, 7ch, 79h, 78h, 72h, 6fh, 6ch, 69h 23 db 66h, 63h, 60h, 5dh, 5ah, 57h, 55h, 51h db 4eh, 4ch, 48h, 45h, 43h, 40h, 3dh, 3ah

41、 db 38h, 35h, 33h, 30h, 2eh, 2bh, 29h, 27h db 25h, 22h, 20h, 1eh, 1ch, 1ah, 18h, 16h db 15h, 13h, 11h, 10h, 0eh, 0dh, 0bh, 0ah db 09h, 08h, 07h, 06h, 05h, 04h, 03h, 02h db 02h, 01h, 00h, 00h, 00h, 00h, 00h, 00h db 00h, 00h, 00h, 00h, 00h, 00h, 01h, 02h db 02h, 03h, 04h, 05h, 06h, 07h, 08h, 09h db 0a

42、h, 0bh, 0dh, 0eh, 10h, 11h, 13h, 15h db 16h, 18h, 1ah, 1ch, 1eh, 20h, 22h, 25h db 27h, 29h, 2bh, 2eh, 30h, 33h, 35h, 38h db 3ah, 3dh, 40h, 43h, 45h, 48h, 4ch, 4eh db 51h, 55h, 57h, 5ah, 5dh, 60h, 63h, 66h db 69h, 6ch, 6fh, 72h, 76h, 79h, 7ch, 80h tab3: db 00h,02h,04h,06h,08h,0ah,0ch,0eh db 10h,12h,1

43、4h,16h,18h,1ah,1ch,1eh db 20h,22h,24h,26h,28h,2ah,2ch,2eh db 30h,32h,34h,36h,38h,3ah,3ch,3eh db 40h,42h,44h,46h,48h,4ah,4ch,4eh db 50h,52h,54h,56h,58h,5ah,5ch,5eh db 60h,62h,64h,66h,68h,6ah,6ch,6eh db 70h,72h,74h,76h,78h,7ah,7ch,7eh db 80h,82h,84h,86h,88h,8ah,8ch,8eh db 0a0h,0a2h,0a4h,0a6h,0a8h,0aah

44、,0ach,0aeh db 0b0h,0b2h,0b4h,0b6h,0b8h,0bah,0bch,0beh db 0c0h,0c2h,0c4h,0c6h,0c8h,0cah,0cch,0ceh db 0d0h,0d2h,0d4h,0d6h,0d8h,0dah,0dch,0deh db 0e0h,0e2h,0e4h,0e6h,0e8h,0eah,0ech,0eeh db 0f0h,0f2h,0f4h,0f6h,0f8h,0fah,0fch,0feh db 0ffh,0feh,0fch,0fah,0f8h,0f6h,0f4h,0f2h db 0f0h,0eeh,0ech,0eah,0e8h,0e6

45、h,0e4h,0e2h 24 db 0e0h,0deh,0dch,0dah,0d8h,0d6h,0d4h,0d2h db 0d0h,0ceh,0cch,0cah,0c8h,0c6h,0c4h,0c2h db 0c0h,0beh,0bch,0bah,0b8h,0b6h,0b4h,0b2h db 0b0h,0aeh,0ach,0aah,0a8h,0a6h,0a4h,0a2h db 0a0h,09eh,9ch,9ah,98h,96h,94h,92h db 90h,8eh,8ch,8ah,88h,86h,84h,82h db 80h,7eh,7ch,7ah,78h,76h,74h,72h db 70h,6eh,6ch,6ah,68h,66h,64h,62h db 60h,5eh,5ch,5ah,58h,56h,54h,52h db 50h,4eh,4ch,4ah,48h,46h,44h,42h db 40h,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論