EDA課程設(shè)計交通燈設(shè)計_第1頁
EDA課程設(shè)計交通燈設(shè)計_第2頁
EDA課程設(shè)計交通燈設(shè)計_第3頁
EDA課程設(shè)計交通燈設(shè)計_第4頁
EDA課程設(shè)計交通燈設(shè)計_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、課程設(shè)計 課題:基于eda基礎(chǔ)技術(shù)的交通燈設(shè)計學院:機電工程學院專業(yè):測控技術(shù)與儀器指導老師:學生:學號:0702381058第1章 緒論1.1 電子設(shè)計自動化技術(shù)及其發(fā)展微電子技術(shù)的進步主要表現(xiàn)在大規(guī)模集成電路加工技術(shù)即半導體工藝技術(shù)的發(fā)展上,使得表征半導體工藝水平的線寬已經(jīng)達到了60nm,并還在不斷地縮小,而在硅片單位面積上,集成了更多的晶體管。集成電路設(shè)計正在不斷地向超大規(guī)模、極低功耗和超高速的方向發(fā)展,專用集成電路asic(application specific integrated circuit)的設(shè)計成本不斷降低,在功能上,現(xiàn)代的集成電路已能夠?qū)崿F(xiàn)單片電子系統(tǒng)soc(syste

2、m on a chip)?,F(xiàn)代電子設(shè)計技術(shù)的核心已日趨轉(zhuǎn)向基于計算機的電子設(shè)計自動化技術(shù),即eda(electronic design automation)技術(shù)。eda技術(shù)就是依賴功能強大的計算機,在eda工具軟件平臺上,對以硬件描述語言hdl(hardware description language)為系統(tǒng)邏輯描述手段完成的設(shè)計文件,自動地完成邏輯編譯、化簡、分割、綜合、布局布線以及邏輯優(yōu)化和仿真測試,直至實現(xiàn)既定的電子線路系統(tǒng)功能。eda技術(shù)使得設(shè)計者的工作僅限于利用軟件的方式,即利用硬件描述語言和eda軟件來完成對系統(tǒng)硬件功能的實現(xiàn),這是電子設(shè)計技術(shù)的一個巨大進步。eda技術(shù)在硬件實

3、現(xiàn)方面融合了大規(guī)模集成電路制造技術(shù)、ic版圖設(shè)計、asic測試和封裝、fpga(fieldprogrammablegatearray)/cpld(complexprogrammablelogic device)編程下載和自動測試等技術(shù);在計算機輔助工程方面融合了計算機輔助設(shè)計(cad)、計算機輔助制造(cam)、計算機輔助測試(cat)、計算機輔助工程(cae)技術(shù)以及多種計算機語言的設(shè)計概念;而在現(xiàn)代電子學方面則容納了更多的內(nèi)容,如電子線路設(shè)計理論、數(shù)字信號處理技術(shù)、數(shù)字系統(tǒng)建模和優(yōu)化技術(shù)及其高頻的長線技術(shù)理論等。因此,eda技術(shù)為現(xiàn)代電子理論和設(shè)計的表達與實現(xiàn)提供了可能性。正因為eda技術(shù)

4、豐富的內(nèi)容以及與電子技術(shù)各學科領(lǐng)域的相關(guān)性,其發(fā)展的歷程同大規(guī)模集成電路設(shè)計技術(shù)、計算機輔助工程、可編程邏輯器件,以及電子設(shè)計技術(shù)和工藝的發(fā)展是同步的。就過去近30年的電子技術(shù)的發(fā)展歷程,可大致將eda技術(shù)的發(fā)展分為以下3個階段。20世紀70年代,在集成電路制作方面,mos工藝得到廣泛的應用;可編程邏輯技術(shù)及其器件問世,計算機作為一種運算工具在科研領(lǐng)域得到廣泛應用。而在后期,cad的概念已見雛形,這一階段人們開始利用計算機取代手工勞動,輔助進行集成電路版圖編輯、pcb布局布線等工作。20世紀80年代,集成電路設(shè)計進入了cmos(互補場效應管)時代,復雜可編程邏輯器件進入商業(yè)應用,相應的輔助設(shè)計

5、軟件投入使用;在80年代末,出現(xiàn)了fpga;cae和cad技術(shù)的應用更為廣泛,它們在pcb設(shè)計方面的原理圖輸入、自動布局布線及pcb分析,以及邏輯設(shè)計、邏輯仿真、布爾函數(shù)綜合和化簡等方面擔任了重要的角色。特別是各種硬件描述語言的出現(xiàn)、應用和標準化方面的重大進步,為電子設(shè)計自動化必須解決的電路建模、標準文檔及仿真測試奠定了基礎(chǔ)。進入20世紀90年代,隨著硬件描述語言的標準化進一步確立,計算機輔助工程、輔助分析和輔助設(shè)計在電子技術(shù)領(lǐng)域獲得更加廣泛的應用。與此同時,電子技術(shù)在通信、計算機及家電產(chǎn)品生產(chǎn)中的市場需求和技術(shù)需求,極大地推動了全新的電子設(shè)計自動化技術(shù)的應用和發(fā)展,特別是集成電路設(shè)計工藝步入

6、了超深亞微米階段,百萬門以上的大規(guī)??删幊踢壿嬈骷年懤m(xù)面世,以及基于計算機技術(shù)的面向用戶的低成本大規(guī)模asic設(shè)計技術(shù)的應用,促進了eda技術(shù)的形成。更為重要的是各eda公司致力于推出兼容各種硬件實現(xiàn)方案和支持標準硬件描述語言的eda工具軟件的研究和應用推廣,更有效地將eda技術(shù)推向成熟和實用。1.2 eda技術(shù)的設(shè)計方法數(shù)字系統(tǒng)的設(shè)計可以采用不同的方法,在今天復雜的ic設(shè)計環(huán)境下,概括起來只有兩種設(shè)計方法供數(shù)字系統(tǒng)設(shè)計人員選擇:一種為由底向上(bottom-up)的設(shè)計方法,也稱為傳統(tǒng)的設(shè)計方法;另一種為自頂向下(top-down)的設(shè)計方法,也稱為現(xiàn)代的設(shè)計方法。但是由于所設(shè)計的數(shù)字系統(tǒng)

7、的規(guī)模大小不一,且系統(tǒng)內(nèi)部邏輯關(guān)系復雜,如何劃分邏輯功能模塊便成為設(shè)計數(shù)字系統(tǒng)的最重要的任務(wù)。采用由底向上的設(shè)計方法需要設(shè)計者首先定義和設(shè)計每個基本模塊,然后對這些模塊進行連線以完成整體設(shè)計。在ic設(shè)計復雜程度低于10000門時常采用這種設(shè)計方法,但是隨著設(shè)計復雜程度的增加,該方法會產(chǎn)生產(chǎn)品生產(chǎn)周期長、可靠性低、開發(fā)費用高等問題?,F(xiàn)代的設(shè)計方法綜合運用各方面的知識,設(shè)計者必須從系統(tǒng)的角度來分析每個設(shè)計,同時還要對數(shù)字電路結(jié)構(gòu)、eda工具、微電子等有關(guān)知識有比較全面的了解,這樣才能發(fā)揮自頂向下設(shè)計的優(yōu)勢,提高電路設(shè)計的質(zhì)量和效率。采用自頂向下技術(shù)進行設(shè)計可分為三個主要階段:系統(tǒng)設(shè)計、系統(tǒng)的綜合和

8、優(yōu)化和系統(tǒng)實現(xiàn),各個階段之間并沒有絕對的界限。系統(tǒng)設(shè)計是整個設(shè)計流程中最重要的部分。它包括系統(tǒng)功能分析、體系結(jié)構(gòu)設(shè)計、系統(tǒng)描述與系統(tǒng)功能仿真4個步驟,這一階段所做的工作基本上決定了所設(shè)計電路的性能,后面所做的工作都是以這一部分為基礎(chǔ)的。eda設(shè)計流程為:設(shè)計輸入、時序與功能仿真、綜合、適配與下載。圖1-1是運用eda技術(shù)進行數(shù)字系統(tǒng)設(shè)計的流程圖。圖1-1 eda數(shù)字系統(tǒng)設(shè)計流程第2章 交通燈控制系統(tǒng)介紹隨著社會經(jīng)濟的發(fā)展,城市交通問題越來越引起人們的關(guān)注。人、車、路三者關(guān)系的協(xié)調(diào),已成為交通管理部門需要解決的重要問題之一。城市交通控制系統(tǒng)是用于城市交通數(shù)據(jù)監(jiān)測、交通信號燈控制與交通疏導的計算機

9、綜合管理系統(tǒng),它是現(xiàn)代城市交通監(jiān)控指揮系統(tǒng)中最重要的組成部分。日常生活在十字路口需要兩個方向通車和行人行走,為了行人和車輛的安全和正常的交通次序,每次只能一個方向通車和行人。在每個方向都有相應的指示燈指揮車輛的通行,當紅燈亮起的時候表示這個方向禁止通行;綠燈亮的時候起表示這個方向可以通行;黃燈閃爍時表示這個方向?qū)⒂煽梢酝ㄐ袪顟B(tài)轉(zhuǎn)變?yōu)榻雇ㄐ袪顟B(tài)。每個方向車流量不同,通車放行時間也不同。當有緊急車輛,如:急救車,消防車,公安出勤車等過來時,每個方向都禁止通車亮紅燈,方便緊急車輛通過,等緊急車過去后馬上恢復緊急車輛來之前的狀態(tài)。路口交通燈控制系統(tǒng)與其他控制系統(tǒng)一樣,劃分為控制器和受控電路兩部分。控

10、制器使整個系統(tǒng)按設(shè)定的工作方式交替指揮車輛及行人的通行,并接收受控部分的反饋信號,決定其狀態(tài)轉(zhuǎn)換方向及輸出信號,控制整個系統(tǒng)的工作過程。 城市路口交通信號控制系統(tǒng)大體上分為三種類型:定周期的信號機、多時段且具有無電纜協(xié)調(diào)功能的微電腦型信號機以及聯(lián)網(wǎng)式自適應多相位智能型信號機。具體采用哪種類型,應根據(jù)其應用場合及特點加以確定。其中,第一種類型以其成本低,設(shè)計簡單,安裝及維護方便等特點得到了廣泛應用。本文討論的城鄉(xiāng)交通燈控制系統(tǒng)就屬于該種類型。該交通燈控制系統(tǒng)主要由時間發(fā)生器電路、光電檢測電路、控制電路等幾個部分組成。 第三章 交通燈控制系統(tǒng)的設(shè)計3.1交通燈控制系統(tǒng)的設(shè)計要求1.南北向為主干道,

11、每次通行時間為30s,東西向為支干道,每次通行時間為20s;2.能實現(xiàn)正常的倒計時功能,用兩組數(shù)碼管作為東西、南北向的倒計時顯示。其中,黃燈:5s。3.能實現(xiàn)特殊狀態(tài)的功能。按下sp鍵后,能實現(xiàn)以下特殊功能:4.能顯示十字路口東西、南北兩個方向的紅、黃、綠燈的指示狀態(tài),用兩組紅、黃、綠三色燈作為兩個方向的紅、黃、綠燈;(1)顯示倒計時的兩組數(shù)碼管閃爍;(2)計數(shù)器停止計數(shù)并保持在原來的狀態(tài);(3)東西、南北路口均顯示紅燈狀態(tài);(4)特殊狀態(tài)解除后能繼續(xù)計數(shù);5. 能實現(xiàn)全清零功能。按下reset鍵后,系統(tǒng)實現(xiàn)全清零,計數(shù)器由初狀態(tài)計數(shù),對應狀態(tài)的指示燈亮;6. 用vhdl語言設(shè)計上述功能的交通

12、燈控制器,并用層次化方法設(shè)計該電路;7. 仿真、驗證設(shè)計的正確性。3.2 設(shè)計思路1.交通燈控制器的電路控制原理框圖如圖1所示,主要包括置數(shù)器模塊、定時計數(shù)器模塊、主控制器模塊和譯碼器模塊。置數(shù)器模塊將交通燈的點亮時間預置到置數(shù)電路中。計數(shù)器模塊以秒為單位倒計時,當計數(shù)值減為零時,主控電路改變輸出狀態(tài),電路進入下一個狀態(tài)的倒計時。核心部分是主控制模塊。具體控制情況見表1。圖3-1電路控制原理框圖表1交通燈控制器狀態(tài)3.3 設(shè)計流程圖由以上要求可以得到該系統(tǒng)的程序流程圖如圖3所示。其中,ga、ra、ya表示a支路的綠燈、紅燈、黃燈,gb、rb、yb表示b支路的綠燈、紅燈、黃燈,s表示特殊功能按鍵

13、,t表示計時的間。圖3-2程序流程圖3.4分頻1hzlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fp1hz isport(clk:in std_logic; -10m clk1hz: buffer std_logic);end fp1hz;architecture one of fp1hz issignal test: integer range 0 to 6000000;beginprocess(clk)beginif clkevent and clk=1 thenif tes

14、t5000000 thentest=test+1;elsetest=0;clk1hz=not clk1hz;end if;end if;end process;end one;3.5、器件下載編程與硬件實現(xiàn)在進行硬件測試時,按鍵k1對應復位端reset,按鍵k2對應緊急開關(guān)urgent。eda實驗開發(fā)系統(tǒng)上的時鐘cp2對應計數(shù)時鐘clk,數(shù)碼管m3、m4對應東西走向的時鐘顯示。led燈l16、l15、l14對應東西走向的綠燈g1、黃燈y1、紅燈r1。數(shù)碼管m1、m2對應南北走向的時鐘顯示。led燈l1、l2、l3對應南北走向的綠燈g2、黃燈y2、紅燈r2,對應的硬件結(jié)構(gòu)示意圖如圖4所示。圖3- 交通燈控制系統(tǒng)的硬件示意圖 第四章 課程設(shè)計總結(jié)通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實際動手能力和獨立思考的能力。在設(shè)計的過程中遇到問題,可以說得是困難重重,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,對

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論