LED旋轉(zhuǎn)燈畢業(yè)設(shè)計(jì)論文(C語言)_第1頁
LED旋轉(zhuǎn)燈畢業(yè)設(shè)計(jì)論文(C語言)_第2頁
LED旋轉(zhuǎn)燈畢業(yè)設(shè)計(jì)論文(C語言)_第3頁
LED旋轉(zhuǎn)燈畢業(yè)設(shè)計(jì)論文(C語言)_第4頁
LED旋轉(zhuǎn)燈畢業(yè)設(shè)計(jì)論文(C語言)_第5頁
已閱讀5頁,還剩26頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、led旋轉(zhuǎn)顯示器的設(shè)計(jì)摘要基于視覺暫留原理,開發(fā)出了一種旋轉(zhuǎn)式led顯示屏。在穩(wěn)定旋轉(zhuǎn)地載體上安裝16個(gè)led發(fā)光器件,靜止時(shí),各列發(fā)光管等間距分列排開,隨著掃描速度的加快,在計(jì)算機(jī)軟件精確的時(shí)序控制下,不斷掃描出預(yù)設(shè)的文字,圖案等。磁鋼用來完成同步的。當(dāng)霍爾傳感器旋轉(zhuǎn)到磁鋼處,感應(yīng)到它的存在就會(huì)恢復(fù)到最初狀態(tài),即使前一個(gè)過程沒有顯示完成。裝在電機(jī)上的電路始終在高速旋轉(zhuǎn),我們就無法使用通常的方法來給電機(jī)供電,但可以通過對(duì)220v電壓降壓來完成。交流電壓通過變壓器降為9v,再通過橋式整流和7806降為6v,供直流電機(jī)使用。采用時(shí)鐘芯片ds1302以及電池能準(zhǔn)確顯示時(shí)、分、秒。關(guān)鍵字:視覺暫留,直

2、流電機(jī),橋式整流。led rotating displayabstractbased on the persistence of vision principle, developed a rotating led display. vector rotation in a stable way to install a led light-emitting device, static when glibenclamide led rows equally spaced points, with the scan rate speeds up, the computer software u

3、nder the control of precise timing, and constantly scan the default text, patterns and so on. magnet is used to complete the synchronization. when the hall sensor to a rotating magnet division, induction into its existence will be restored to its original state, even if the former does not show the

4、completion of a process. installed in the motor circuit is always in high-speed rotation, we can not use the usual method to give electrical power supply, but can be done step-down voltage of 220v. ac voltage through the transformer reduced to 9v, then through the bridge rectifier and 7806 fell to 6

5、v, for the use of dc motors. it uses ds1302 to display hour, minute and second excellently.key words: persistence vision, dc motor, bridge rectifier.目 錄摘要iabstractii目 錄iii引言11 系統(tǒng)硬件電路的設(shè)計(jì)11.1 系統(tǒng)硬件框圖11.2 主控單元21.3 驅(qū)動(dòng)模塊51.4 霍爾傳感器模塊61.5 顯示模塊81.6 電源模塊1101.7 電源模塊2112 系統(tǒng)程序的設(shè)計(jì)122.1 程序的分步完成122.2 程序流程圖123 性能調(diào)試與

6、分析133.1 程序的檢查與修改133.2 硬件的焊接與檢查14總結(jié)15參考文獻(xiàn)- 1 -致謝- 2 -附錄- 3 -附錄一 元器件清單- 3 -附錄二 硬件原理- 4 -附錄三 程序- 5 -引言目前,單片機(jī)技術(shù)如火如荼,蓬勃發(fā)展,其電子產(chǎn)品如雨后春筍地出現(xiàn),正潮水般的涌入各個(gè)領(lǐng)域。其電子產(chǎn)品以靈敏、結(jié)構(gòu)簡(jiǎn)單易制、成本低、可靠性強(qiáng)等優(yōu)點(diǎn)迅速占領(lǐng)電子市場(chǎng),給人們生活帶來了極大的方便,深受人們的青睞。此次作品以宏晶科技stc89c52rc單片機(jī)為主控單元,還有ds1302時(shí)鐘芯片,利用視覺暫留效應(yīng),精確的顯示北京時(shí)間。論文共分為7個(gè)部分,主要內(nèi)容包括:系統(tǒng)硬件電路的設(shè)計(jì)、系統(tǒng)程序的設(shè)計(jì)、性能調(diào)試

7、與分析、結(jié)束語、參考文獻(xiàn)、致謝、附錄。1 系統(tǒng)硬件電路的設(shè)計(jì)1.1 系統(tǒng)硬件框圖系統(tǒng)硬件框圖如圖1.1所示。3.2v電源6v電源ds1302時(shí)鐘芯片直流電機(jī)單片機(jī)stc89c52 led顯示4.5v電源 霍爾傳感器 圖1.1 系統(tǒng)硬件框圖1.2 主控單元單片機(jī)以其集成度高、功能強(qiáng)、可靠性高、體積小、功耗低、價(jià)格廉、使用靈活等一系列優(yōu)點(diǎn)得到迅速的發(fā)展,滲透到我們生活的各個(gè)領(lǐng)域,幾乎很難找到哪個(gè)領(lǐng)域沒有單片機(jī)的蹤跡。導(dǎo)彈的導(dǎo)航裝置,飛機(jī)上各種儀表的控制,計(jì)算機(jī)的網(wǎng)絡(luò)通訊與數(shù)據(jù)傳輸,工業(yè)自動(dòng)化過程的實(shí)時(shí)控制和數(shù)據(jù)處理,廣泛使用的各種智能ic卡,民用豪華轎車的安全保障系統(tǒng)等,這些都離不開單片機(jī)。此次設(shè)

8、計(jì)主要采用宏晶科技的stc89c52rc單片機(jī)為主控單元。stc89c52rc的芯片管腳圖如圖1.2所示。 圖1.2 stc89c52rc的芯片管腳圖1 一個(gè)8位的微處理器;2 片內(nèi)數(shù)據(jù)存儲(chǔ)器ram,用以存放可以讀/寫的數(shù)據(jù),如運(yùn)算的中間結(jié)果、最終結(jié)果以顯示的數(shù)據(jù)等;3 片內(nèi)程序存儲(chǔ)器rom/eprom,用以存放程序、一些原始數(shù)據(jù)和表格;4 四個(gè)8位并行i/o接口p0p3,每個(gè)口可以用作輸入,也可以用作輸出;5 兩個(gè)(或三個(gè))定時(shí)器/計(jì)數(shù)器,每個(gè)定時(shí)器/計(jì)數(shù)器都可以設(shè)置成計(jì)數(shù)方式,用以對(duì)外部事件進(jìn)行計(jì)數(shù),也可以設(shè)置成定時(shí)方式,并可以根據(jù)計(jì)數(shù)或定時(shí)的結(jié)果實(shí)現(xiàn)計(jì)算機(jī)控制;6 五(或六)個(gè)中斷源的中

9、斷控制系統(tǒng);7 一個(gè)全雙工uart接口(通用異步接收發(fā)送器)的串行i/o,用于實(shí)現(xiàn)單片機(jī)之間或單片機(jī)與微機(jī)之間的串行通信;8 片內(nèi)振蕩器和時(shí)鐘產(chǎn)生電路,但石英晶體和微調(diào)電容須要外接。可以看出stc89c52rc系列單片機(jī)也是一款功能強(qiáng)大的單片機(jī)。特點(diǎn):l 1.增強(qiáng)型6時(shí)鐘/機(jī)器周期,12時(shí)鐘/機(jī)器周期8051cpu。l 2.工作電壓:5.5v-3.4v(5v單片機(jī))/3.8v-2.0v(3v單片機(jī))。l 3.工作頻率范圍:0-40mhz,相當(dāng)于普通8051的0-80mhz,實(shí)際工作頻率可達(dá)48mhz。l 4.用戶應(yīng)用程序空間4k/8k/13k/16k/20k/32k/64k字節(jié)。l 5.片上集

10、成1280字節(jié)/512字節(jié)ram。l 6.通用i/o口(32/36個(gè)),復(fù)位后為:p1/p2/p3/p4是準(zhǔn)雙向口/弱上拉,p0口是開路輸出,作為總線擴(kuò)展用時(shí),不加上拉電阻,作為i/o口用時(shí),需加上拉電阻。l 7.isp(在系統(tǒng)可編程)/iap(在應(yīng)用可編程),無需專用編程器/仿真器,可通過串口(p3.0/p3.1)直接下載用戶程序,8k程序3秒即可完成一片。l 8.eeprom功能。l 9.看門狗。l 10.內(nèi)部集成max810專用復(fù)位電路(d版才有),外部晶體20m以下時(shí),可省外部復(fù)位電路。l 11.共3個(gè)16位定時(shí)器/計(jì)數(shù)器,其中定時(shí)器0還可以當(dāng)成2個(gè)8位定時(shí)器使用。l 12.外部中斷4

11、路:下降沿中斷或低電平觸發(fā)中斷,power down模式可由外部中斷低電平觸發(fā)中斷方式喚醒。l 13.通用異步串行口(uart),還可用定時(shí)器軟件實(shí)現(xiàn)多個(gè)uart。l 14.封裝:lqfp-44,pdip-40,plcc-44,pqfp-44。引腳功能說明:vcc電源電壓gnd地p0口p0口是一組8位漏極開路型雙向i/o口,也即地址/數(shù)據(jù)總線復(fù)用口。作為輸出口用時(shí),每位能吸收電流的方式驅(qū)動(dòng)8個(gè)ttl邏輯門電路,對(duì)端口p0寫“1”時(shí),可作為高阻抗輸入端用。在訪問外部數(shù)據(jù)存儲(chǔ)器或程序存儲(chǔ)器時(shí),這組口線分時(shí)轉(zhuǎn)換地址(低8位)和數(shù)據(jù)總線復(fù)用,在訪問期間激活內(nèi)部上拉電阻。在flash編程時(shí),p0口接收指

12、令字節(jié),而在程序校驗(yàn)時(shí),輸出指令字節(jié),校驗(yàn)時(shí),要求外接“上拉電阻”。p1口p1口是一個(gè)內(nèi)部帶上拉電阻的8位雙向i/o口,p1的輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4個(gè)ttl邏輯門電路。對(duì)端口寫“1”,通過內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸出口。作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流。p1.0和p1.1的第二功能:p1.0 t2(定時(shí)/計(jì)數(shù)器2外部計(jì)數(shù)脈沖輸入),時(shí)鐘輸出p1.1 t2ex(定時(shí)/計(jì)數(shù)2捕獲/重裝載觸發(fā)和方向控制)p2口p2是一個(gè)內(nèi)部帶上拉電阻的8位雙向i/o口,p2的輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4個(gè)ttl邏輯門電路。對(duì)端口p2寫

13、“1”,通過內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口,作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流。在訪問外部程序存儲(chǔ)器或16位地址的外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行movxdptr指令)時(shí),p2口送出高8位地址數(shù)據(jù)。在訪問8位地址的外部數(shù)據(jù)存儲(chǔ)器(如執(zhí)行movxri指令)時(shí),p2口輸出p2鎖存器的內(nèi)容。flash編程或校驗(yàn)時(shí),p2亦接收高位地址和一些控制信號(hào)。p3口p3口是一組帶有內(nèi)部上拉電阻的8位雙向i/o口。p3口輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4個(gè)ttl邏輯門電路。對(duì)p3口寫入“1”時(shí),它們被內(nèi)部上拉電阻拉高并可作為輸入端口。此時(shí),被外部拉低的p3口將用

14、上拉電阻輸出電流。p3口除了作為一般的i/o口線外,更重要的用途是它的第二功能,如下所示:p3.0 rxd(串行輸入口) p3.1 txd(串行輸出口) p3.2 into(外中斷0)p3.3 int1(外中斷1)p3.4 to(定時(shí)/計(jì)數(shù)器0)p3.5 t1(定時(shí)/計(jì)數(shù)器1)p3.6 wr(外部數(shù)據(jù)存儲(chǔ)器寫選通信號(hào))p3.7 rd(外部數(shù)據(jù)存儲(chǔ)器讀選通信號(hào))此外,p3口還接收一些用于flash閃存編程和程序校驗(yàn)的控制信號(hào)。rst復(fù)位輸入。當(dāng)振蕩器工作時(shí),rst引腳出現(xiàn)兩個(gè)機(jī)器周期以上高電平將是單片機(jī)復(fù)位。ale/prog當(dāng)訪問外部程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),ale(地址鎖存允許)輸出脈沖用于鎖

15、存地址的低8位字節(jié)。一般情況下,ale仍以時(shí)鐘振蕩頻率的1/6輸出固定的脈沖信號(hào),因此它可對(duì)外輸出時(shí)鐘或用于定時(shí)目的。要注意的是:每當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器時(shí)將跳過一個(gè)ale脈沖。對(duì)flash存儲(chǔ)器編程期間,該引腳還用于輸入編程脈沖(prog)。如有必要,可通過對(duì)特殊功能寄存器(sfr)區(qū)中的8eh單元的d0位置位,可禁止ale操作。d0置位后,只有一條movx和movc指令才能將ale激活。此外,該引腳會(huì)被微弱拉高,單片機(jī)執(zhí)行外部程序時(shí),應(yīng)設(shè)置ale禁止位無效。psen程序儲(chǔ)存允許(psen)輸出是外部程序存儲(chǔ)器的讀選通信號(hào),當(dāng)stc89c52由外部程序存儲(chǔ)器取指令(或數(shù)據(jù))時(shí),每個(gè)機(jī)器周期兩次

16、psen有效,即輸出兩個(gè)脈沖,在此期間,當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器,將跳過兩次psen信號(hào)。ea/vpp外部訪問允許,欲使cpu訪問外部程序存儲(chǔ)器(地址0000h-ffffh),ea端必需保持低電平(接地)。需注意的是:如果加密位lb1被編程,復(fù)位時(shí)內(nèi)部會(huì)鎖存ea端狀態(tài)。如ea端為高電平(接vcc端),cpu則執(zhí)行內(nèi)部程序存儲(chǔ)器的指令。 flash存儲(chǔ)器編程時(shí),該引腳加上+12v的編程允許電源vpp,當(dāng)然這必須是該器件是使用12v編程電壓vpp。stc89c52rc/rd+系列單片機(jī)是stc mirco推出的新一代超強(qiáng)抗干擾/高速/低功耗的單片機(jī),指令代碼完全兼容傳統(tǒng) 8051 單片機(jī),12 時(shí)鐘/

17、機(jī)器周期和6時(shí)鐘/機(jī)器周期可任意選擇,最新的d版本內(nèi)部集成max810專用復(fù)位電路。1.3 驅(qū)動(dòng)模塊單片機(jī)的時(shí)鐘有一個(gè)11.0592mhz的晶振和兩個(gè)30pf的小電容阻成,它們決定了單片機(jī)的工作時(shí)間精度為1us。單片機(jī)內(nèi)各部件之間有條不紊地協(xié)調(diào)工作,其控制信號(hào)是在基本節(jié)拍的指揮下按一定時(shí)間順序發(fā)出的,這些控制信號(hào)在時(shí)間上的相互關(guān)系就是cpu時(shí)序,而產(chǎn)生這種基本節(jié)拍的電路就是振蕩器和時(shí)鐘電路。stc89c52單片機(jī)內(nèi)部有一個(gè)用于構(gòu)成振蕩器的單級(jí)反相放大器。引腳xtal1為反相器輸入端,xtal2為反相器輸出端。當(dāng)在放大器兩個(gè)引腳上外接一個(gè)晶體(或陶瓷振蕩器)和電容組成的并聯(lián)諧振電路作為反饋元件時(shí)

18、,夠成一個(gè)自激振蕩器。內(nèi)部振蕩器等效電路圖如圖1.3所示。圖1.3 內(nèi)部振蕩器等效電路圖此振蕩器由xtal1端向內(nèi)部時(shí)鐘電路提供一定頻率的時(shí)鐘源信號(hào)。另外振蕩器的工作還可以由軟件控制,當(dāng)對(duì)單片機(jī)內(nèi)電源控制寄存器pcon中的pd位置1時(shí),可停止振蕩器的工作,使單片機(jī)進(jìn)入省電工作狀態(tài),此振蕩器稱為內(nèi)部振蕩器。單片機(jī)也可以通過外部振蕩器向內(nèi)部時(shí)鐘電路輸入固定頻率的時(shí)鐘源信號(hào)。此時(shí),外部信號(hào)接至xtal1端,輸入給內(nèi)部時(shí)鐘電路,而xtal2端浮空即可。片內(nèi)振蕩器頻率是由外接石英晶體的頻率決定的,其頻率值可在024mhz之間。當(dāng)頻率穩(wěn)定性要求不高時(shí),可選用陶瓷振蕩器。片內(nèi)振蕩器對(duì)構(gòu)成并聯(lián)諧振電路的外接電

19、容c1和c2要求并不嚴(yán)格。外接晶體時(shí),c1和c2的典型值為30pf左右;外接陶瓷振蕩器時(shí),c1和c2的典型值為47pf左右。而且在設(shè)計(jì)印刷電路板時(shí),晶體(或陶瓷)振蕩器和電容應(yīng)盡可能安裝得靠近單片機(jī),以減少寄生電容,保證振蕩器的穩(wěn)定性和可靠性。1.4 霍爾傳感器模塊霍爾傳感器處于工作狀態(tài)時(shí),輸出總是處于高電平狀態(tài),當(dāng)磁鋼n極接近傳感器正面的有效距離,輸出端變?yōu)榈碗娖?。?dāng)磁鋼撤離傳感器有效距離,輸出端又顯示低電平,從而產(chǎn)生下降邊沿,使單片機(jī)中斷口接收到下降沿,從而發(fā)出中斷,使得電路能夠同步。當(dāng)霍爾傳感器感應(yīng)到n極時(shí)會(huì)回到原始狀態(tài),達(dá)到復(fù)位的功能。霍爾傳感器是一種磁傳感器。它可以檢測(cè)磁場(chǎng)及其變化,

20、可在各種與磁場(chǎng)有關(guān)的場(chǎng)合中使用?;魻杺鞲衅饕曰魻栃?yīng)為其工作基礎(chǔ),是由霍爾元件和它的附屬電路組成的集成傳感器。霍爾傳感器在工業(yè)生產(chǎn)、交通運(yùn)輸和日常生活中有著廣泛的應(yīng)用。此次實(shí)驗(yàn)中運(yùn)用了ah3144單極性霍爾開關(guān)電路。 ah3144e、ah3144l是由電壓調(diào)整器,霍爾電壓發(fā)生器,差分放大器,施密特觸發(fā)器和集電極開路的輸出級(jí)組成的磁敏感電路,其輸入是磁感應(yīng)強(qiáng)度,輸出是一個(gè)數(shù)字電壓訊號(hào)。它是一種單磁極工作的磁敏感電路,適合于矩形或者柱形磁體下的工作。ah3144l的工作溫度范圍為-40150,可用于汽車工業(yè)和軍事工程中。它們有兩種封裝形式:to-92ua和to-92t?;魻杺鞲衅鞴δ芊娇驁D如圖1.

21、4所示。 圖1.4傳感器功能方框圖霍爾傳感器引腳解析圖如圖1.5所示。圖1.5引腳解析如圖1.6所示,其中bnp為工作點(diǎn)“開”的磁感應(yīng)強(qiáng)度,bnp為釋放點(diǎn)“關(guān)”的磁感應(yīng)強(qiáng)度。圖1.6 霍爾傳感器特性曲線當(dāng)外加的磁感應(yīng)強(qiáng)度超過動(dòng)作點(diǎn)brp時(shí),傳感器輸出為低電平,當(dāng)磁感應(yīng)強(qiáng)度超過動(dòng)作點(diǎn)brp時(shí),傳感器輸出高電平,當(dāng)磁感應(yīng)強(qiáng)度降到動(dòng)作點(diǎn)bnp以下時(shí),傳感器輸出電平不變,一直要降到釋放點(diǎn)時(shí),傳感器才由低電平躍變?yōu)楦唠娖?。brp與bnp之間的滯后使開關(guān)動(dòng)作更為可靠。另外還有一種“鎖鍵型”(或稱“鎖存型”)開關(guān)霍爾傳感器,其特性如圖1.7所示。圖1.7 鎖存型霍爾傳感器特性特點(diǎn):電源電壓范圍寬。開關(guān)速度快

22、,無瞬間抖動(dòng)。工作頻率寬(dc100khz)。壽命長(zhǎng),體積小,安裝方便。能直接和晶體管及ttl、mos等邏輯電路接口。1.5 顯示模塊led顯示器具有功耗低,接口控制方便等優(yōu)點(diǎn),而且模塊的接口信號(hào)和操作指令具有廣泛的兼容性,并能直接與單片機(jī)接口,可方便地實(shí)現(xiàn)各種不同的操作,在各類測(cè)量及控制儀表中被廣泛的應(yīng)用。當(dāng)在led上顯示漢字時(shí),應(yīng)先取得漢字的點(diǎn)陣構(gòu)成數(shù)據(jù),然后將其寫入顯示存儲(chǔ)器中進(jìn)行顯示。旋轉(zhuǎn)led顯示器是一種通過同步控制發(fā)光二極位置和點(diǎn)亮狀態(tài)來實(shí)現(xiàn)圖文顯示的新型顯示器,其結(jié)構(gòu)新穎,成本低廉,可視角度達(dá)360。本設(shè)計(jì)采用16個(gè)并排發(fā)光二極管,利用人眼的“視覺暫留效應(yīng)”顯示文字及圖案。顯示模

23、塊如圖1.8所示。圖 1.8 顯示模塊框圖由于采用時(shí)鐘芯片ds1302,可以準(zhǔn)確的顯示北京時(shí)間。ds1302是dallas公司推出的涓流充電時(shí)鐘芯片,內(nèi)含有一個(gè)實(shí)時(shí)時(shí)鐘/日歷和31字節(jié)靜態(tài)ram。通過簡(jiǎn)單的串行接口與單片機(jī)進(jìn)行通信:實(shí)時(shí)時(shí)鐘/ 日歷電路提供秒、分、時(shí)、日、日期、月、的信息,每月的天數(shù)和閏年的天數(shù)可自動(dòng)調(diào)整:時(shí)鐘操作可通過am/pm指示決定采用24或者12小時(shí)格式。ds1302與單片機(jī)之間能簡(jiǎn)單地采用同步串行的方式進(jìn)行通信,僅需用到三個(gè)口線:(1)res(復(fù)位);(2)i/o(數(shù)據(jù)線);(3)sclk(串行時(shí)鐘)。時(shí)鐘/ram的讀/寫數(shù)據(jù)以一個(gè)字節(jié)或多達(dá)31字節(jié)的字符組方式通信:

24、ds1302工作時(shí)功耗很低,保持?jǐn)?shù)據(jù)和時(shí)鐘信息時(shí)功率小于1mw。主要性能指標(biāo):實(shí)時(shí)時(shí)鐘具有能計(jì)算2100年之前的秒、分、時(shí)、日、日期、星期、月、年的能力,還有閏年調(diào)整的能力。318位暫存數(shù)據(jù)存儲(chǔ)ram。i/o串行口方式使得管腳數(shù)量最少。工作電壓范圍:2.05.5v。工作電流:2.0v時(shí),小于300ma。讀/寫時(shí)鐘或ram數(shù)據(jù)時(shí),有兩種傳送方式:?jiǎn)巫止?jié)傳送和多字節(jié)傳送(字符組方式)。8腳dip封裝或可選的8腳soic封裝(根據(jù)表面裝配)。簡(jiǎn)單3線接口。與ttl兼容:(vcc=5v)??蛇x工業(yè)級(jí)溫度范圍:-40+85。與ds1202兼容。在ds1202基礎(chǔ)上增加的特性:對(duì)vcc1有可選的涓流充電能

25、力。雙電源管用于主電源和備份電源供應(yīng)。備份電源管腳可由電池或大容量電容輸入。附加的7字節(jié)暫存存儲(chǔ)器。ds1302的管腳排列及描述如圖1.9所示。圖1.9 ds1302引腳圖ds1302引腳描述如表1.1所示。表1.1 管腳描述引腳說明x1,x232.768khz晶振管腳gnd地rst復(fù)位腳i/o數(shù)據(jù)輸入/輸出引腳sclk串行時(shí)鐘vcc1,vcc2電源供電管腳1.6 電源模塊1任何電路都離不開電源部分,單片機(jī)也不例外,而且我們應(yīng)該高度重視電源部分,不能因?yàn)殡娫床糠蛛娐繁容^簡(jiǎn)單而有所忽略,其實(shí)有將近一半的故障或制作失敗都和電源有關(guān),電源部分做好才能保證電路的正常工作。3節(jié)1.5v電池是最好的,電池

26、輸出的電壓是最干凈的,不會(huì)有任何干擾波動(dòng)。上電復(fù)位:保障上電時(shí)能準(zhǔn)確地啟動(dòng)系統(tǒng)。掉電復(fù)位:當(dāng)電源失效或電壓降到某一電壓值以下時(shí),復(fù)位系統(tǒng)自動(dòng)保存數(shù)據(jù)。除上電復(fù)位和掉電復(fù)位外,很多監(jiān)控電路集成了系統(tǒng)所需的功能。電源測(cè)控:供電電壓出現(xiàn)異常時(shí)提供預(yù)警指示或中斷請(qǐng)求信號(hào),方便系統(tǒng)實(shí)現(xiàn)異常處理。數(shù)據(jù)保護(hù):當(dāng)電源或系統(tǒng)工作異常時(shí),對(duì)數(shù)據(jù)進(jìn)行必要的保護(hù),如保護(hù)數(shù)據(jù)備份或切換后備電池。1.7 電源模塊2電網(wǎng)提供的交流電源經(jīng)過整流、濾波,可得到直流電壓,但此電壓仍然存在波紋。同時(shí),由于交流電網(wǎng)電壓的波動(dòng),負(fù)載的變化和溫度的影響等,使輸出電壓紋波會(huì)更大,即輸出電流電壓不穩(wěn)定。為了得到穩(wěn)定的輸出電壓,在濾波電路與負(fù)

27、載之間常常加入穩(wěn)壓電路,以使負(fù)載得穩(wěn)定的輸出電壓。通過上面的分析可知,直流穩(wěn)壓電源主要由變壓器、整流電路、濾波電路和穩(wěn)壓電路四大部分組成。直流穩(wěn)壓電路組成框圖如圖1.10所示。圖1.10 直流穩(wěn)壓電源的組成框圖橋式整流濾波電路如圖1.11所示。圖1.11 橋式整流濾波電路220v交流電壓經(jīng)過變壓器輸出為9v交流電壓,再經(jīng)過橋式整流成為單向脈沖電壓,再經(jīng)過濾波電路成為比較平穩(wěn)的直流電壓,最后通過7806穩(wěn)壓成輸出穩(wěn)定的6v直流電。7806引腳圖如圖1.12所示。圖1.12 7806引腳圖對(duì)于7806三端穩(wěn)壓ic,它和其它78xx一樣,都屬于+v電壓穩(wěn)壓輸出鏈路。其1腳為輸入端,2腳接地,3腳穩(wěn)壓

28、輸出。7806是正電壓三端固定穩(wěn)壓器集成電路,屬于線性穩(wěn)壓器件。7806應(yīng)用非常廣泛,在各種穩(wěn)壓電源、充電器、家電等產(chǎn)品中均有運(yùn)用。主要參數(shù):最高輸入電壓:3.5v輸入輸出最小的電壓差:2v最大輸出電流:1.5a。輸出電壓偏差:典型=6v;最低=5.75v;最高=6.25v。工作溫度范圍:070 。2 系統(tǒng)程序的設(shè)計(jì)2.1 程序的分步完成1.對(duì)于程序的編寫有些茫然,所以上網(wǎng)搜了些資料經(jīng)過仔細(xì)研究后大致知道程序可以分為幾個(gè)模塊,對(duì)于以后的編寫有了一定的啟發(fā)。2.編寫了程序顯示了一個(gè)字,觀察二極管是否能有規(guī)律的閃爍,從而確定硬件電路的準(zhǔn)確性。3.編寫程序測(cè)試一次最多能顯示多少字,以及測(cè)試霍爾傳感器

29、的轉(zhuǎn)速。4.對(duì)一些基本性能了解后開始程序的編寫。2.2 程序流程圖首先對(duì)所要編寫的程序作一個(gè)系統(tǒng)的了解。程序流程圖如下圖2.1所示。初始化送碼表顯示標(biāo)志位置0標(biāo)志位=0等待yn 2.1 程序流圖3 性能調(diào)試與分析3.1 程序的檢查與修改一個(gè)工程的完成不可能一步達(dá)成,正如俗話說“不積跬步,無以至千里”。所以整個(gè)程序的編寫也是一步一個(gè)腳印,最后攀登上勝利的高峰。程序是在keil51讓軟件上編寫的,它具有仿真、編譯及檢錯(cuò)的功能。從一開始顯示的亂碼到一步一步的修改直至最后程序的出來我們付出了很多心血,終于皇天不負(fù)有心人。在編寫程序的時(shí)候遇到了兩個(gè)比較大的問題:一個(gè)是送數(shù),另一個(gè)是時(shí)間。1.直流電機(jī)的轉(zhuǎn)

30、速?zèng)Q定了最多能顯示多少個(gè)字,而且只有在霍爾傳感器感應(yīng)到n極的時(shí)候才能送數(shù),否則就無效。2.這次設(shè)計(jì)對(duì)于時(shí)間的控制很嚴(yán)格,時(shí)間決定我們是否能正確的顯示漢字。因?yàn)槭腔谝曈X暫留效應(yīng),如果延時(shí)太長(zhǎng)就不能看清楚字,所以要控制顯示的字的數(shù)目。3.2 硬件的焊接與檢查1.晶振短路萬用板上插孔之間有的已經(jīng)通過錫導(dǎo)通,在焊接時(shí)沒有用電烙鐵把錫挑掉,造成晶振短路,還好發(fā)現(xiàn)及時(shí),并沒有造成嚴(yán)重后果。2.發(fā)光二極管共陰、共陽發(fā)生錯(cuò)誤原理圖中二極管共陰連接。焊接完成后,芯片引腳出來的是低電平,二極管又共陰連接,導(dǎo)致二極管不亮,后來把二極管改成共陽的。3.p0口未接上拉電阻畫原理圖時(shí)沒有考慮周全,p0口未接上拉電阻,焊

31、接完成后,編寫小程序時(shí)發(fā)光二極管不能正常顯示。 4.霍爾傳感器反接由于網(wǎng)上下載的資料錯(cuò)誤,外加對(duì)霍爾傳感器知識(shí)的不扎實(shí),導(dǎo)致霍爾傳感器反接。多次檢查之后,終于發(fā)現(xiàn)并改正了這個(gè)錯(cuò)誤。5.發(fā)光二極管順序錯(cuò)誤芯片上p0口從上到下順序?yàn)?7,而p2口從上到下的順序?yàn)?0,由于焊接時(shí)的疏忽,只參照了原理圖上芯片的引腳排布,沒有注意到實(shí)際的,導(dǎo)致程序編寫進(jìn)去后,顯示的是亂碼,不是正常的漢字。6.重新制作整個(gè)作品完成后,基本功能都已完成,唯一的缺點(diǎn)是顯示的字不好看。因?yàn)椴捎么蟮陌l(fā)光二極管,直徑太靠近轉(zhuǎn)軸,字體變形。電路布線合理且經(jīng)過調(diào)試了,如果把大的二極管換成小的會(huì)影響整個(gè)布線,這樣就比較麻煩了,為了追求更

32、美觀、完美,所以決定重新焊一個(gè)。7.發(fā)光二極管亮度不夠重新焊接完成后,字形顯示改觀了,但又遇到一個(gè)新問題,發(fā)光二極管亮度不夠,只有在黑暗條件下才能看清。所以最后換成了貼片的,現(xiàn)在效果明顯好轉(zhuǎn),亮度均勻。總結(jié)09年10月我開始了我的畢業(yè)論文工作,時(shí)至今日,論文已基本完成。從最初的茫然到慢慢進(jìn)入狀態(tài),再到對(duì)思路逐漸的清晰,整個(gè)過程,難以用語言來表達(dá)。經(jīng)歷了幾個(gè)月的奮戰(zhàn),緊張而又充實(shí)的畢業(yè)設(shè)計(jì)終于落下了帷幕?;叵脒@段日子的經(jīng)歷和感受,我感慨萬千,在這次畢業(yè)設(shè)計(jì)過程中,我擁有了無數(shù)難忘的回憶和收獲。當(dāng)接到課題時(shí),我便立刻著手資料的收集工作,當(dāng)時(shí)面對(duì)浩瀚的書海真是有些茫然,不知如何下手,我將這一困難告訴

33、了同組成員,在經(jīng)過多次討論之后,終于對(duì)工作方向和方法有了掌握。我把收集到的資料都記錄下來,這樣有利于論文的撰寫。在制作過程中遇到困難我就及時(shí)和導(dǎo)師聯(lián)系,并且和同學(xué)相互交流,在大家的幫助下,困難一個(gè)接一個(gè)解決了,作品也慢慢成型了,整個(gè)過程中我充分運(yùn)用了大學(xué)期間所學(xué)到的知識(shí)。腳踏實(shí)地,認(rèn)真嚴(yán)謹(jǐn),實(shí)事求是的學(xué)習(xí)態(tài)度,不怕困難,堅(jiān)持不懈,吃苦耐勞的精神是我在這次設(shè)計(jì)中最大的收益,我想這是一次意志的磨練是對(duì)我實(shí)際能力的一次提升,會(huì)對(duì)我未來的學(xué)習(xí)和工作有很大的幫助。通過畢業(yè)設(shè)計(jì),我深刻體會(huì)到要做好一件完整的事情,需要系統(tǒng)的思維方式和方法,對(duì)待要解決的問題,要耐心、要善于運(yùn)用已有的資源來充實(shí)自己。同時(shí)我也深

34、刻的認(rèn)識(shí)到,在對(duì)待一個(gè)新事物時(shí),一定要從整體考慮,完成一步以后再做下一步,這樣才能更有效。參考文獻(xiàn)1 劉迎春,王磊.c語言程序設(shè)計(jì).西安電子科技大學(xué)出版社,2008.08.2 楊帆,秦會(huì)斌.傳感器技術(shù).西安電子科技大學(xué)出版社,2008.09.3 清源計(jì)算機(jī)工作室.protel 99 se 原理圖與pcb及仿真.機(jī)械工程出版社,2004.01.4 陳衛(wèi)兵,宋健娟.單片機(jī)原理及應(yīng)用.西安科技大學(xué)出版社,2008.07.5 周興華.手把手教你學(xué)單片機(jī)c語言程序設(shè)計(jì).北京航天航空大學(xué)出版社,2007.08.6 楊碧石,何其貴.模擬電子技術(shù)基礎(chǔ).北京航天航空大學(xué)出版社,2006.01.致謝忙碌了幾個(gè)月畢

35、業(yè)設(shè)計(jì)終于接近尾聲了,大學(xué)生活也即將結(jié)束了。逝者如斯,不舍晝夜,兩次春去春又來,歲月稍縱即逝。此時(shí),回頭看看這段短暫的求學(xué)路,時(shí)而喜悅,時(shí)而惆悵。感謝命運(yùn)的安排,讓我有幸結(jié)識(shí)了許多良師益友,是他們教我如何品味人生,讓我懂得如何更好的生活!人生處處是驛站,已是揮手作別之時(shí),在此,向所有幫助過我的人獻(xiàn)上最誠(chéng)摯的謝意?!帮嬈淞鲿r(shí)思其源,成吾學(xué)時(shí)念吾師?!敝链苏撐耐瓿芍H,謹(jǐn)向我尊敬的導(dǎo)師嚴(yán)飛致以誠(chéng)摯的謝意和崇高的敬意。感謝您從本設(shè)計(jì)開始一路指導(dǎo)至論文的完成,正是因?yàn)槟悸非逦?、反?yīng)敏捷,學(xué)術(shù)態(tài)度清晰,才使我的畢業(yè)論文有了極大的寫作空間。您的悉心點(diǎn)播,耐心指導(dǎo),常讓我有“山窮水盡疑無路,柳暗花明又一村

36、”的感覺。“何當(dāng)共剪西窗燭,卻話巴山夜雨時(shí)?!贝髮W(xué)三年期間與我朝夕相處的同學(xué)是我最寶貴的財(cái)富,感謝室友的真摯友情,我想對(duì)你們說:“認(rèn)識(shí)你們很高興!”生活中有了你們的陪伴而更顯豐富,有了你們的幫助而倍感輕松,有了你們的支持而深受鼓舞感謝所有的同學(xué),感謝所有的朋友,很幸運(yùn)能夠認(rèn)識(shí)你們,但愿我們的友情長(zhǎng)久,祝福我們的未來更美好!“可憐天下父母心,”在我告別學(xué)習(xí)生涯之時(shí),請(qǐng)?jiān)试S我向我最愛的家人表示誠(chéng)摯的謝意,想到他們,我總是感到溫暖而安詳。感謝我的爸爸、媽媽,正因?yàn)橛心銈兊闹С趾凸膭?lì),才有了今天的我。你們的哺育之恩,愛護(hù)之情讓我永生難忘。在我成功的時(shí)候,你們的笑容散發(fā)著幸福、滿足和榮耀的光芒,照亮了我

37、的前程;在我失敗的時(shí)候,你們的眼神透露出理解、包容和鼓勵(lì)的信息,讓我意氣風(fēng)發(fā),鼓足了勇氣!感謝所有關(guān)心我,愛護(hù)我的親人,祝福你們身體健康,萬事如意!最后感謝和我一組的成員,感謝他們對(duì)畢業(yè)設(shè)計(jì)的貢獻(xiàn),也感謝對(duì)我的支持,給我了很大的幫助,在我迷茫時(shí)在一旁悉心指導(dǎo)我,耐心的講解直至我明白。正是在這樣一個(gè)團(tuán)結(jié)友愛,相互促進(jìn)的環(huán)境中,才有了今天我們的小收獲,才使我時(shí)刻充滿信心和勇氣,克服路上的種種困難和障礙,順利的完成大學(xué)的學(xué)習(xí)!“天下無不散的筵席”雖不舍說“再見”,再見的時(shí)刻卻以來臨,無人能阻止時(shí)間前進(jìn)的腳步,唯有將淚低于心頭,將記憶封于腦海之中。別了,美麗的青春校園,你是我避風(fēng)的港灣,是我成長(zhǎng)的搖籃

38、;別了,親愛的良師益友,你們是我今世的知音,伴我成長(zhǎng),是甘甜雨露,滋潤(rùn)我心田。附錄附錄一 元器件清單元件名稱型號(hào)(大?。?shù)量電阻20016個(gè)電阻1k1個(gè)電阻2k1個(gè)電阻10k1個(gè)排阻1個(gè)瓷片電容30pf2個(gè)瓷片電容1042個(gè)電解電容10uf1個(gè)電解電容1000uf1個(gè)電解電容470uf1個(gè)發(fā)光二極管(貼片)led16個(gè)二極管(npn)in40074個(gè)穩(wěn)壓管78061個(gè)霍爾傳感器(開關(guān))ah31441個(gè)單片機(jī)stc89c52rc1個(gè)時(shí)鐘芯片ds13021個(gè)普通開關(guān)2個(gè)復(fù)位開關(guān)1個(gè)晶振12mhz1個(gè)晶振32.768khz1個(gè)萬用板1塊導(dǎo)線若干變壓器1個(gè)插頭1根杜邦頭若干杜邦線若干芯片底座1個(gè)插針若

39、干干電池底座1個(gè)干電池15v3節(jié)電池3v1個(gè)電池底座1個(gè)散熱片1個(gè)直流電機(jī)6v1個(gè)附錄二 硬件原理附錄三 程序#include#includetime.h#define uchar unsigned char#define uint unsigned intuchar a,b,c,g,e,f;uchar code shu1032=0x00,0x00,0x00,0x00,0x00,0xfc,0x02,0x02,0x02,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x02,0x02,0x02,0x01,0x00

40、,0x00,0x00,0x00,0x00,0x00,/00x00,0x00,0x00,0x00,0x00,0x00,0x04,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x02,0x03,0x02,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/10x00,0x00,0x00,0x00,0x00,0x0c,0x82,0x42,0x22,0x1c,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,

41、0x02,0x02,0x02,0x02,0x00,0x00,0x00,0x00,0x00,0x00,/20x00,0x00,0x00,0x00,0x00,0x0c,0x02,0x22,0x22,0x52,0x8c,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,0x04,0x04,0x04,0x04,0x03,0x00,0x00,0x00,0x00,0x00,/30x00,0x00,0x00,0x00,0x00,0xe0,0x90,0x88,0xfe,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0

42、x00,0x00,0x00,0x00,0x00,0x00,0x04,0x07,0x04,0x04,0x00,0x00,0x00,0x00,0x00,/40x00,0x00,0x00,0x00,0x00,0x3e,0x22,0x12,0x12,0x12,0xe2,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,0x04,0x04,0x04,0x04,0x03,0x00,0x00,0x00,0x00,0x00,/50x00,0x00,0x00,0x00,0x00,0x00,0xf8,0x44,0x22,0x22,0x22,0xc4,0x

43、00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,0x04,0x04,0x04,0x04,0x03,0x00,0x00,0x00,0x00,/60x00,0x00,0x00,0x00,0x00,0x00,0x0e,0x02,0xc2,0x32,0x0e,0x02,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x07,0x00,0x00,0x00,0x00,0x00,0x00,0x00, /70x00,0x00,0x00,0x00,0x00,0x98,0x64,0x

44、44,0x44,0x64,0x98,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x03,0x04,0x04,0x04,0x04,0x03,0x00,0x00,0x00,0x00,0x00, /80x00,0x00,0x00,0x00,0x00,0x78,0x84,0x84,0x84,0x44,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x02,0x04,0x04,0x04,0x02,0x01,0x00,0x00,0x00,0x00,0x00; /9uchar code

45、zi= 0x00,0x00,0x10,0x10,0x10,0xfe,0x00,0x00,0xfe,0x40,0x20,0x10,0x08,0x00,0x00,0x00, 0x00,0x00,0x02,0x02,0x7a,0xca,0x4a,0xcb,0x4a,0xca,0x7a,0x02,0x02,0x00,0x00,0x00 0x00,0x00,0x00,0xfc,0x44,0x44,0xfc,0x08,0x28,0x48,0x08,0xfe,0x08,0x08,0x00,0x00, 0x00,0x00,0x00,0xf8,0x02,0x04,0xf0,0x52,0x52,0x52,0xf2

46、,0x02,0xfe,0x00,0x00,0x00, 0x00,0x00,0x02,0x02,0x02,0x0f,0x00,0x00,0x0f,0x08,0x08,0x08,0x0f,0x00,0x00,0x00, 0x00,0x00,0x04,0x02,0x01,0x04,0x04,0x07,0x00,0x00,0x01,0x02,0x04,0x00,0x00,0x00, 0x00,0x00,0x00,0x03,0x02,0x02,0x03,0x00,0x00,0x02,0x04,0x07,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x0f,0x00,0x00,

47、0x03,0x02,0x02,0x02,0x03,0x08,0x0f,0x00,0x00,0x00;uchar code shi= 0x00,0x00,0x00,0xfc,0x44,0x44,0xfc,0x08,0x28,0x48,0x08,0xfe,0x08,0x08,0x00,0x00, 0x00,0x00,0x00,0x03,0x02,0x02,0x03,0x00,0x00,0x02,0x04,0x07,0x00,0x00,0x00,0x00;uchar code fen=0x00,0x00,0x20,0x10,0x2c,0x23,0xe0,0x20,0x20,0x23,0xec,0x1

48、0,0x20,0x00,0x00,0x00, 0x00,0x00,0x00,0x04,0x02,0x01,0x00,0x00,0x02,0x04,0x03,0x00,0x00,0x00,0x00,0x00;uchar code miao=0x00,0x00,0x80,0x4a,0x2a,0xff,0x29,0x48,0xbc,0x00,0x7f,0x00,0xc4,0x18,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x07,0x00,0x00,0x04,0x04,0x02,0x01,0x00,0x00,0x00,0x00;bit s;uchar dat,m=0;

49、void delay(void) /誤差 -0.868055555556us unsigned char a,b; for(b=1;b0;b-) for(a=227;a0;a-);void init(void) s=0; ea = 1; ex0 = 1;it0=1;void zhongduan(void) interrupt 0 s=1;void main(void)init();ds1302_write_time();while(1)p0=0xff;p1=0xff;ds1302_read_time();a= time_buf16;b= time_buf17;c= time_buf18;g=

50、time_buf19;e= time_buf110;f= time_buf111;while(s=1)uchar j=64;uchar d,h;for(h=0;h64;h+)p0=zih;p1=zij;j+;delay();j=16;for(d=0;d16;d+)p0=shuad;p1=shuaj;delay();j+;j=16;for(d=0;d16;d+)p0=shubd;p1=shubj;delay();j+;j=16;for(d=0;d16;d+)p0=shid;p1=shij;j+;delay();j=16; for(d=0;d16;d+)p0=shucd;p1=shucj;dela

51、y();j+;j=16;for(d=0;d16;d+)p0=shugd;p1=shugj;delay();j+;j=16;for(d=0;d16;d+)p0=fend;p1=fenj;j+;delay();j=16; for(d=0;d16;d+)p0=shued;p1=shuej;delay();j+;j=16;for(d=0;d16;d+)p0=shufd;p1=shufj;delay();j+;j=16;for(d=0;d16;d+)p0=miaod;p1=miaoj;j+;delay();s=0;#include #includesbit sck=p20;sbit sda=p21;sbit rst=p22;/*復(fù)位腳*/#define rst_clrrst=0/*電平置低*/#define rst_setrst=1/*電平置高*/*雙向數(shù)據(jù)*/#define io_clrsda=0/*電平置低*/#define io_setsda=1/*電平置高*/#define io_rsda/*電平讀取*/*時(shí)鐘信號(hào)*/#define sck_clrsck=0/*時(shí)鐘信號(hào)*/#define sck_setsck=1/*電平置高*/#define ds1302_sec_add0x80/秒數(shù)據(jù)地址#define ds1302_min_add0x82/分?jǐn)?shù)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論