數(shù)電各章復(fù)習(xí)題及答案_第1頁
數(shù)電各章復(fù)習(xí)題及答案_第2頁
數(shù)電各章復(fù)習(xí)題及答案_第3頁
數(shù)電各章復(fù)習(xí)題及答案_第4頁
數(shù)電各章復(fù)習(xí)題及答案_第5頁
已閱讀5頁,還剩13頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、第1章 邏輯代數(shù)基礎(chǔ)一、選擇題(多選題)1以下代碼中為無權(quán)碼的為 。 A. 8421BCD碼 B. 5421BCD碼 C. 余三碼 D. 格雷碼2一位十六進制數(shù)可以用 位二進制數(shù)來表示。A. B. C. D. 163十進制數(shù)25用8421BCD碼表示為 。A.10 101 B.0010 0101 C. D.101014與十進制數(shù)(53.5)10等值的數(shù)或代碼為 。A.(0101 0011.0101)8421BCD B.(35.8)16 C.(.1)2 D.(65.4)85.與八進制數(shù)(47.3)8等值的數(shù)為:A. (.011)2 B.(27.6)16 C.(27.3 )16 D. (.11)2

2、6.常用的BCD碼有 。A.奇偶校驗碼 B.格雷碼 C.8421碼 D.余三碼7.與模擬電路相比,數(shù)字電路主要的優(yōu)點有 。A.容易設(shè)計 B.通用性強 C.保密性好 D.抗干擾能力強8. 邏輯變量的取值和可以表示: 。 A.開關(guān)的閉合、斷開 B.電位的高、低 C.真與假 D.電流的有、無 9求一個邏輯函數(shù)F的對偶式,可將F中的 。A .“”換成“+”,“+”換成“” B.原變量換成反變量,反變量換成原變量C.變量不變D.常數(shù)中“0”換成“1”,“1”換成“0”E.常數(shù)不變10. A+BC= 。A .A+B B.A+C C.(A+B)(A+C) D.B+C11.在何種輸入情況下,“與非”運算的結(jié)果

3、是邏輯0。 A全部輸入是0 B.任一輸入是0 C.僅一輸入是0 D.全部輸入是112.在何種輸入情況下,“或非”運算的結(jié)果是邏輯0。 A全部輸入是0 B.全部輸入是1 C.任一輸入為0,其他輸入為1 D.任一輸入為113.以下表達式中符合邏輯運算法則的是 。 A. CC=C2 B.1+1=10 C.01 D.A+1=114. 當(dāng)邏輯函數(shù)有n個變量時,共有 個變量取值組合? A. n B. 2n C. n2 D. 2n15. 邏輯函數(shù)的表示方法中具有唯一性的是 。A .真值表 B.表達式 C.邏輯圖 D.卡諾圖16. F=A+BD+CDE+D= 。A. B. C. D.17. 邏輯函數(shù)F= =

4、。A.B B.A C. D. 二、判斷題(正確打,錯誤的打)1. 8421碼1001比0001大。( )2. 數(shù)字電路中用“1”和“0”分別表示兩種狀態(tài),二者無大小之分。( )3格雷碼具有任何相鄰碼只有一位碼元不同的特性。( )4八進制數(shù)(18)8比十進制數(shù)(18)10小。( )5當(dāng)傳送十進制數(shù)5時,在8421奇校驗碼的校驗位上值應(yīng)為1。( )6在時間和幅度上都斷續(xù)變化的信號是數(shù)字信號,語音信號不是數(shù)字信號。( )7十進制數(shù)(9)10比十六進制數(shù)(9)16小。( )8當(dāng)8421奇校驗碼在傳送十進制數(shù)(8)10時,在校驗位上出現(xiàn)了1時,表明在傳送過程中出現(xiàn)了錯誤。( )9若兩個函數(shù)具有不同的真值

5、表,則兩個邏輯函數(shù)必然不相等。( )10若兩個函數(shù)具有不同的邏輯函數(shù)式,則兩個邏輯函數(shù)必然不相等。( )11邏輯函數(shù)Y=A+B+C+B已是最簡與或表達式。( )12因為邏輯表達式A+B +AB=A+B+AB成立,所以A+B= A+B成立。( )13對邏輯函數(shù)Y=A+B+C+B利用代入規(guī)則,令A(yù)=BC代入,得Y= BC+B+C+B=C+B成立。( )三、填空題1. 數(shù)字信號的特點是在 上和 上都是斷續(xù)變化的,其高電平和低電平常用 和 來表示。2. 分析數(shù)字電路的主要工具是 ,數(shù)字電路又稱作 。3. 在數(shù)字電路中,常用的計數(shù)制除十進制外,還有 、 、 。4. 常用的BCD碼有 、 、 、 等。常用

6、的可靠性代碼有 、 等。 5.(.1011)2=( )8=( )166. ( 35.4)8 =( )2 =( )10=( )16=( )8421BCD7. (39.75 )10=( )2=( )8=( )168. ( 5E.C)16=( )2=( )8=( )10= ( )8421BCD9. ( 0111 1000)8421BCD =( )2=( )8=( )10=( )1610. 邏輯代數(shù)又稱為 代數(shù)。最基本的邏輯關(guān)系有 、 、 三種。常用的幾種導(dǎo)出的邏輯運算為 、 、 、 、 。11. 邏輯代數(shù)中與普通代數(shù)相似的定律有 、 、 。摩根定律又稱為 。12. 邏輯代數(shù)的三個重要規(guī)則是 、 、

7、。13邏輯函數(shù)F=+B+D的反函數(shù)= 。14邏輯函數(shù)F=A(B+C)1的對偶函數(shù)是 。15添加項公式AB+C+BC=AB+C的對偶式為 。16. 邏輯函數(shù)的常用表示方法有 、 、 。17邏輯函數(shù)F=+A+B+C+D= 。18邏輯函數(shù)F= 。19已知函數(shù)的對偶式為+,則它的原函數(shù)為 。四、思考題1. 在數(shù)字系統(tǒng)中為什么要采用二進制? 2. 格雷碼的特點是什么?為什么說它是可靠性代碼?3. 奇偶校驗碼的特點是什么?為什么說它是可靠性代碼?4. 邏輯代數(shù)與普通代數(shù)有何異同?5. 為什么說邏輯等式都可以用真值表證明?CDAB 0001111000010101111101011001016. 對偶規(guī)則有

8、什么用處?7. 邏輯函數(shù)的三種表示方法如何相互轉(zhuǎn)換?8 見如右Y的卡諾圖,寫出最簡與或表達式。第2章 門電路一、 選擇題(多選題)1. 三態(tài)門輸出高阻狀態(tài)時, 是正確的說法。A.用電壓表測量指針不動 B.相當(dāng)于懸空 C.電壓不高不低 D.測量電阻指針不動2. 以下電路中可以實現(xiàn)“線與”功能的有 。A.與非門 B.三態(tài)輸出門 C.集電極開路門 D.漏極開路門3.以下電路中常用于總線應(yīng)用的有 。A.TSL門 B.OC門 C. 漏極開路門 D.CMOS與非門4邏輯表達式Y(jié)=AB可以用 實現(xiàn)。A.正或門 B.正非門 C.正與門 D.負或門5TTL電路在正邏輯系統(tǒng)中,以下各種輸入中 相當(dāng)于輸入邏輯“1”

9、。A.懸空 B.通過電阻2.7k接電源C.通過電阻2.7k接地 D.通過電阻510接地6對于TTL與非門閑置輸入端的處理,可以 。A.接電源 B.通過電阻3k接電源 C.接地 D.與有用輸入端并聯(lián)7三極管作為開關(guān)使用時,要提高開關(guān)速度,可 。A.降低飽和深度 B.增加飽和深度 C.采用有源泄放回路 D.采用抗飽和三極管8CMOS數(shù)字集成電路與TTL數(shù)字集成電路相比突出的優(yōu)點是 。A.微功耗 B.高速度 C.高抗干擾能力 D.電源范圍寬二、 判斷題(正確打,錯誤的打)1TTL與非門的多余輸入端可以接固定高電平。( )2 當(dāng)TTL與非門的輸入端懸空時相當(dāng)于輸入為邏輯1。( )3普通的邏輯門電路的輸

10、出端不可以并聯(lián)在一起,否則可能會損壞器件。( )4兩輸入端四與非門器件74LS00與7400的邏輯功能完全相同。( )5CMOS或非門與TTL或非門的邏輯功能完全相同。( )6三態(tài)門的三種狀態(tài)分別為:高電平、低電平、不高不低的電壓。( )7TTL集電極開路門輸出為時由外接電源和電阻提供輸出電流。( )8一般TTL門電路的輸出端可以直接相連,實現(xiàn)線與。( )9CMOS OD門(漏極開路門)的輸出端可以直接相連,實現(xiàn)線與。( )10TTL OC門(集電極開路門)的輸出端可以直接相連,實現(xiàn)線與。( )三、 填空題1. 集電極開路門的英文縮寫為 門,工作時必須外加 和 。2OC門稱為 門,多個OC門輸

11、出端并聯(lián)到一起可實現(xiàn) 功能。3TTL與非門電壓傳輸特性曲線分為 區(qū)、 區(qū)、 區(qū)、 區(qū)。第3章 組合邏輯電路四、 選擇題(多選題)1下列表達式中不存在競爭冒險的有 。 A.Y=+AB B.Y=AB+C C.Y=AB+AB D.Y=(A+)A2若在編碼器中有50個編碼對象,則要求輸出二進制代碼位數(shù)為 位。 A.5 B.6 C.10 D.503.一個16選一的數(shù)據(jù)選擇器,其地址輸入(選擇控制輸入)端有 個。 A.1 B.2 C.4 D.164.下列各函數(shù)等式中無冒險現(xiàn)象的函數(shù)式有 。 A. B. C. D. E.5函數(shù),當(dāng)變量的取值為 時,將出現(xiàn)冒險現(xiàn)象。 A.B=C=1 B.B=C=0 C.A=1

12、,C=0 D.A=0,B=06四選一數(shù)據(jù)選擇器的數(shù)據(jù)輸出Y與數(shù)據(jù)輸入Xi和地址碼Ai之間的邏輯表達式為Y= 。A. B. C. D.7.一個8選一數(shù)據(jù)選擇器的數(shù)據(jù)輸入端有 個。A.1 B.2 C.3 D.4 E.88在下列邏輯電路中,不是組合邏輯電路的有 。A.譯碼器 B.編碼器 C.全加器 D.寄存器9八路數(shù)據(jù)分配器,其地址輸入端有 個。A.1 B.2 C.3 D.4 E.810組合邏輯電路消除競爭冒險的方法有 。A. 修改邏輯設(shè)計 B.在輸出端接入濾波電容C.后級加緩沖電路 D.屏蔽輸入信號的尖峰干擾11101鍵盤的編碼器輸出 位二進制代碼。A.2 B.6 C.7 D.812用三線-八線譯

13、碼器74LS138實現(xiàn)原碼輸出的8路數(shù)據(jù)分配器,應(yīng) 。A.=1,=D,=0 B. =1,=D,=DC.=1,=0,=D D. =D,=0,=013以下電路中,加以適當(dāng)輔助門電路, 適于實現(xiàn)單輸出組合邏輯電路。A.二進制譯碼器 B.數(shù)據(jù)選擇器 C.數(shù)值比較器 D.七段顯示譯碼器14用四選一數(shù)據(jù)選擇器實現(xiàn)函數(shù)Y=,應(yīng)使 。A.D0=D2=0,D1=D3=1 B.D0=D2=1,D1=D3=0C.D0=D1=0,D2=D3=1 D.D0=D1=1,D2=D3=015用三線-八線譯碼器74LS138和輔助門電路實現(xiàn)邏輯函數(shù)Y=,應(yīng) 。A.用與非門,Y= B.用與門,Y=C.用或門,Y= D.用或門,Y

14、=五、 判斷題(正確打,錯誤的打)1. 優(yōu)先編碼器的編碼信號是相互排斥的,不允許多個編碼信號同時有效。( )2. 編碼與譯碼是互逆的過程。( )3. 二進制譯碼器相當(dāng)于是一個最小項發(fā)生器,便于實現(xiàn)組合邏輯電路。( )4. 液晶顯示器的優(yōu)點是功耗極小、工作電壓低。( )5. 液晶顯示器可以在完全黑暗的工作環(huán)境中使用。( )6. 半導(dǎo)體數(shù)碼顯示器的工作電流大,約10mA左右,因此,需要考慮電流驅(qū)動能力問題。( )7. 共陰接法發(fā)光二極管數(shù)碼顯示器需選用有效輸出為高電平的七段顯示譯碼器來驅(qū)動。( )8. 數(shù)據(jù)選擇器和數(shù)據(jù)分配器的功能正好相反,互為逆過程。( )9. 用數(shù)據(jù)選擇器可實現(xiàn)時序邏輯電路。(

15、 )10. 組合邏輯電路中產(chǎn)生競爭冒險的主要原因是輸入信號受到尖峰干擾。( )六、 填空題1半導(dǎo)體數(shù)碼顯示器的內(nèi)部接法有兩種形式:共 接法和共 接法。2對于共陽接法的發(fā)光二極管數(shù)碼顯示器,應(yīng)采用 電平驅(qū)動的七段顯示譯碼器。3消除竟?fàn)幟半U的方法有 、 、 等。第4章 觸發(fā)器七、 選擇題(多選題)1.N個觸發(fā)器可以構(gòu)成能寄存 位二進制數(shù)碼的寄存器。 A.N-1 B.N C.N+1 D.2N2在下列觸發(fā)器中,有約束條件的是 。 A.主從JK F/F B.主從D F/F C.同步RS F/F D.邊沿D F/F3一個觸發(fā)器可記錄一位二進制代碼,它有 個穩(wěn)態(tài)。A.0 B.1 C.2 D.3 E.44存儲

16、8位二進制信息要 個觸發(fā)器。A.2 B.3 C.4 D.85對于T觸發(fā)器,若原態(tài)Qn=0,欲使新態(tài)Qn+1=1,應(yīng)使輸入T= 。A.0 B.1 C.Q D.6對于T觸發(fā)器,若原態(tài)Qn=1,欲使新態(tài)Qn+1=1,應(yīng)使輸入T= 。A.0 B.1 C.Q D.7對于D觸發(fā)器,欲使Qn+1=Qn,應(yīng)使輸入D= 。A.0 B.1 C.Q D.8對于JK觸發(fā)器,若J=K,則可完成 觸發(fā)器的邏輯功能。A.RS B.D C.T D.T9欲使JK觸發(fā)器按Qn+1=Qn工作,可使JK觸發(fā)器的輸入端 。A.J=K=0 B.J=Q,K= C.J=,K=Q D.J=Q,K=0 E.J=0,K=10欲使JK觸發(fā)器按Qn+

17、1=n工作,可使JK觸發(fā)器的輸入端 。A.J=K=1 B.J=Q,K= C.J=,K=Q D.J=Q,K=1 E.J=1,K=Q11欲使JK觸發(fā)器按Qn+1=0工作,可使JK觸發(fā)器的輸入端 。A.J=K=1 B.J=Q,K=Q C.J=Q,K=1 D.J=0,K=1 E.J=K=112欲使JK觸發(fā)器按Qn+1=1工作,可使JK觸發(fā)器的輸入端 。A.J=K=1 B.J=1,K=0 C.J=K= D.J=K=0 E.J=,K=013欲使D觸發(fā)器按Qn+1=n工作,應(yīng)使輸入D= 。A.0 B.1 C.Q D.14下列觸發(fā)器中,克服了空翻現(xiàn)象的有 。A.邊沿D觸發(fā)器 B.主從RS觸發(fā)器 C.同步RS觸

18、發(fā)器 D.主從JK觸發(fā)器15下列觸發(fā)器中,沒有約束條件的是 。A.基本RS觸發(fā)器 B.主從RS觸發(fā)器 C.同步RS觸發(fā)器 D.邊沿D觸發(fā)器16描述觸發(fā)器的邏輯功能的方法有 。A.狀態(tài)轉(zhuǎn)換真值表 B.特性方程 C.狀態(tài)轉(zhuǎn)換圖 D.狀態(tài)轉(zhuǎn)換卡諾圖17為實現(xiàn)將JK觸發(fā)器轉(zhuǎn)換為D觸發(fā)器,應(yīng)使 。A.J=D,K= B. K=D,J= C.J=K=D D.J=K=18.邊沿式D觸發(fā)器是一種 穩(wěn)態(tài)電路。A.無 B.單 C.雙 D.多八、 判斷題(正確打,錯誤的打) D觸發(fā)器的特性方程為Qn+1=D,與Qn無關(guān),所以它沒有記憶功能。( ) RS觸發(fā)器的約束條件RS=0表示不允許出現(xiàn)R=S=1的輸入。( ) 同

19、步觸發(fā)器存在空翻現(xiàn)象,而邊沿觸發(fā)器和主從觸發(fā)器克服了空翻。( ) 主從JK觸發(fā)器、邊沿JK觸發(fā)器和同步JK觸發(fā)器的邏輯功能完全相同。( ) 若要實現(xiàn)一個可暫停的一位二進制計數(shù)器,控制信號A=0計數(shù),A=1保持,可選用T觸發(fā)器,且令T=A。( ) 由兩個TTL或非門構(gòu)成的基本RS觸發(fā)器,當(dāng)R=S=0時,觸發(fā)器的狀態(tài)為不定。 對邊沿JK觸發(fā)器,在CP為高電平期間,當(dāng)J=K=1時,狀態(tài)會翻轉(zhuǎn)一次。()九、 填空題1觸發(fā)器有 個穩(wěn)態(tài),存儲8位二進制信息要 個觸發(fā)器。2一個基本RS觸發(fā)器在正常工作時,它的約束條件是+=1,則它不允許輸入= 且= 的信號。3觸發(fā)器有兩個互補的輸出端Q、,定義觸發(fā)器的1狀態(tài)

20、為 ,0狀態(tài)為 ,可見觸發(fā)器的狀態(tài)指的是 端的狀態(tài)。4一個基本RS觸發(fā)器在正常工作時,不允許輸入R=S=1的信號,因此它的約束條件是 。5在一個CP脈沖作用下,引起觸發(fā)器兩次或多次翻轉(zhuǎn)的現(xiàn)象稱為觸發(fā)器的 ,觸發(fā)方式為 式或 式的觸發(fā)器不會出現(xiàn)這種現(xiàn)象。第5章 時序邏輯電路十、 選擇題(多選題)1同步計數(shù)器和異步計數(shù)器比較,同步計數(shù)器的顯著優(yōu)點是 。 A.工作速度高 B.觸發(fā)器利用率高 C.電路簡單 D.不受時鐘CP控制。2把一個五進制計數(shù)器與一個四進制計數(shù)器串聯(lián)可得到 進制計數(shù)器。 A.4 B.5 C.9 D.203下列邏輯電路中為時序邏輯電路的是 。 A.變量譯碼器 B.加法器 C.數(shù)碼寄存

21、器 D.數(shù)據(jù)選擇器4. N個觸發(fā)器可以構(gòu)成最大計數(shù)長度(進制數(shù))為 的計數(shù)器。 A.N B.2N C.N2 D.2N5. N個觸發(fā)器可以構(gòu)成能寄存 位二進制數(shù)碼的寄存器。 A.N-1 B.N C.N+1 D.2N6五個D觸發(fā)器構(gòu)成環(huán)形計數(shù)器,其計數(shù)長度為 。A.5 B.10 C.25 D.327同步時序電路和異步時序電路比較,其差異在于后者 。A.沒有觸發(fā)器 B.沒有統(tǒng)一的時鐘脈沖控制C.沒有穩(wěn)定狀態(tài) D.輸出只與內(nèi)部狀態(tài)有關(guān)8一位8421BCD碼計數(shù)器至少需要 個觸發(fā)器。A.3 B.4 C.5 D.109.欲設(shè)計0,1,2,3,4,5,6,7這幾個數(shù)的計數(shù)器,如果設(shè)計合理,采用同步二進制計數(shù)

22、器,最少應(yīng)使用 級觸發(fā)器。A.2 B.3 C.4 D.8108位移位寄存器,串行輸入時經(jīng) 個脈沖后,8位數(shù)碼全部移入寄存器中。A.1 B.2 C.4 D.811用二進制異步計數(shù)器從0做加法,計到十進制數(shù)178,則最少需要 個觸發(fā)器。A.2 B.6 C.7 D.8 E.1012某電視機水平-垂直掃描發(fā)生器需要一個分頻器將31500HZ的脈沖轉(zhuǎn)換為60HZ的脈沖,欲構(gòu)成此分頻器至少需要 個觸發(fā)器。A.10 B.60 C.525 D.3150013某移位寄存器的時鐘脈沖頻率為100KHZ,欲將存放在該寄存器中的數(shù)左移8位,完成該操作需要 時間。A.10S B.80S C.100S D.800ms14

23、.若用JK觸發(fā)器來實現(xiàn)特性方程為,則JK端的方程為 。A.J=AB,K= B.J=AB,K= C.J=,K=AB D.J=,K=AB15要產(chǎn)生10個順序脈沖,若用四位雙向移位寄存器CT74LS194來實現(xiàn),需要 片。A.3 B.4 C.5 D.1016若要設(shè)計一個脈沖序列為的序列脈沖發(fā)生器,應(yīng)選用 個觸發(fā)器。A.2 B.3 C.4 D.10十一、 判斷題(正確打,錯誤的打)1同步時序電路由組合電路和存儲器兩部分組成。( )2組合電路不含有記憶功能的器件。( )3時序電路不含有記憶功能的器件。( )4同步時序電路具有統(tǒng)一的時鐘CP控制。( )5異步時序電路的各級觸發(fā)器類型不同。( )6環(huán)形計數(shù)器

24、在每個時鐘脈沖CP作用時,僅有一位觸發(fā)器發(fā)生狀態(tài)更新。( )7環(huán)形計數(shù)器如果不作自啟動修改,則總有孤立狀態(tài)存在。( )8計數(shù)器的模是指構(gòu)成計數(shù)器的觸發(fā)器的個數(shù)。( )9計數(shù)器的模是指對輸入的計數(shù)脈沖的個數(shù)。( )10D觸發(fā)器的特征方程Qn+1=D,而與Qn無關(guān),所以,D觸發(fā)器不是時序電路。( )11在同步時序電路的設(shè)計中,若最簡狀態(tài)表中的狀態(tài)數(shù)為2N,而又是用N級觸發(fā)器來實現(xiàn)其電路,則不需檢查電路的自啟動性。( )12把一個5進制計數(shù)器與一個10進制計數(shù)器串聯(lián)可得到15進制計數(shù)器。( )13同步二進制計數(shù)器的電路比異步二進制計數(shù)器復(fù)雜,所以實際應(yīng)用中較少使用同步二進制計數(shù)器。( )14利用反饋

25、歸零法獲得N進制計數(shù)器時,若為異步置零方式,則狀態(tài)SN只是短暫的過渡狀態(tài),不能穩(wěn)定而是立刻變?yōu)?狀態(tài)。( )十二、 填空題1寄存器按照功能不同可分為兩類: 寄存器和 寄存器。2數(shù)字電路按照是否有記憶功能通??煞譃閮深悾?、 。3由四位移位寄存器構(gòu)成的順序脈沖發(fā)生器可產(chǎn)生 個順序脈沖。4時序邏輯電路按照其觸發(fā)器是否有統(tǒng)一的時鐘控制分為 時序電路和 時序電路。第6章 存儲器與可編程邏輯器件十三、 選擇題(多選題)1PROM和PAL的結(jié)構(gòu)是 。A.PROM的與陣列固定,不可編程 B. PROM與陣列、或陣列均不可編程C.PAL與陣列、或陣列均可編程 D. PAL的與陣列可編程2當(dāng)用專用輸出結(jié)構(gòu)的PA

26、L設(shè)計時序邏輯電路時,必須還要具備有 。A.觸發(fā)器 B.晶體管 C.MOS管 D.電容3當(dāng)用異步I/O輸出結(jié)構(gòu)的PAL設(shè)計邏輯電路時,它們相當(dāng)于 。A. 組合邏輯電路 B.時序邏輯電路 C.存儲器 D.數(shù)模轉(zhuǎn)換器4PLD器件的基本結(jié)構(gòu)組成有 。A. 與陣列 B.或陣列 C.輸入緩沖電路 D.輸出電路5PLD器件的主要優(yōu)點有 。A. 便于仿真測試 B.集成密度高 C.可硬件加密 D.可改寫6GAL的輸出電路是 。A.OLMC B.固定的 C.只可一次編程 D.可重復(fù)編程7PLD開發(fā)系統(tǒng)需要有 。A.計算機 B.編程器 C.開發(fā)軟件 D.操作系統(tǒng)8只可進行一次編程的可編程器件有 。A.PAL B.

27、GAL C.PROM D.PLD9可重復(fù)進行編程的可編程器件有 。A.PAL B.GAL C.PROM D.ISP-PLD10ISP-PLD器件開發(fā)系統(tǒng)的組成有 。A.計算機 B.編程器 C.開發(fā)軟件 D.編程電纜11全場可編程(與、或陣列皆可編程)的可編程邏輯器件有 。A.PAL B.GAL C.PROM D.PLA12隨機存取存儲器具有 功能。A.讀/寫 B.無讀/寫 C.只讀 D.只寫13只讀存儲器ROM中的內(nèi)容,當(dāng)電源斷掉后又接通,存儲器中的內(nèi)容 。A.全部改變 B.全部為0 C.不可預(yù)料 D.保持不變十四、 判斷題(正確打,錯誤的打) PROM不僅可以讀,也可以寫(編程),則它的功能

28、與RAM相同。( ) PAL的每個與項都一定是最小項。( ) PAL和GAL都是與陣列可編程、或陣列固定。( ) PAL可重復(fù)編程。( ) PAL的輸出電路是固定的,不可編程,所以它的型號很多。( ) GAL的型號雖然很少,但卻能取代大多數(shù)PAL芯片。( ) ABEL語言是一種通用的硬件描述語言(HDL),用于PLD的開發(fā)。( ) GAL不需專用編程器就可以對它進行反復(fù)編程。( ) 在系統(tǒng)可編程邏輯器件ISP-PLD不需編程器就可以高速而反復(fù)地編程,則它與RAM隨機存取存儲器的功能相同。( ) PLA是全場可編程(與、或陣列皆可編程)的可編程邏輯器件,功能強大,便于使用,因此被普遍使用。( )

29、第7章 數(shù)模和模數(shù)轉(zhuǎn)換十五、 選擇題(多選題)1一個無符號8位數(shù)字量輸入的DAC,其分辨率為 位。A.1 B.3 C.4 D.82一個無符號10位數(shù)字輸入的DAC,其輸出電平的級數(shù)為 。A.4 B.10 C.1024 D.2103一個無符號4位權(quán)電阻DAC,最低位處的電阻為40K,則最高位處電阻為 。A.4K B.5K C.10K D.20K44位倒T型電阻網(wǎng)絡(luò)DAC的電阻網(wǎng)絡(luò)的電阻取值有 種。A.1 B.2 C.4 D.85為使采樣輸出信號不失真地代表輸入模擬信號,采樣頻率和輸入模擬信號的最高頻率的關(guān)系是 。A. B. C. 2 D. 26將一個時間上連續(xù)變化的模擬量轉(zhuǎn)換為時間上斷續(xù)(離散)

30、的模擬量的過程稱為 。A.采樣 B.量化 C.保持 D.編碼7用二進制碼表示指定離散電平的過程稱為 。A.采樣 B.量化 C.保持 D.編碼8將幅值上、時間上離散的階梯電平統(tǒng)一歸并到最鄰近的指定電平的過程稱為 。A.采樣 B.量化 C.保持 D.編碼9若某ADC取量化單位=,并規(guī)定對于輸入電壓,在0時,認(rèn)為輸入的模擬電壓為0V,輸出的二進制數(shù)為000,則時,輸出的二進制數(shù)為 。A.001 B.101 C.110 D.11110以下四種轉(zhuǎn)換器, 是A/D轉(zhuǎn)換器且轉(zhuǎn)換速度最高。A.并聯(lián)比較型 B.逐次逼近型 C.雙積分型 D.施密特觸發(fā)器十六、 判斷題(正確打,錯誤的打) 權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器的

31、電路簡單且便于集成工藝制造,因此被廣泛使用。( ) D/A轉(zhuǎn)換器的最大輸出電壓的絕對值可達到基準(zhǔn)電壓VREF。( ) D/A轉(zhuǎn)換器的位數(shù)越多,能夠分辨的最小輸出電壓變化量就越小。( ) D/A轉(zhuǎn)換器的位數(shù)越多,轉(zhuǎn)換精度越高。( ) A/D轉(zhuǎn)換器的二進制數(shù)的位數(shù)越多,量化單位越小。( ) A/D轉(zhuǎn)換過程中,必然會出現(xiàn)量化誤差。( ) A/D轉(zhuǎn)換器的二進制數(shù)的位數(shù)越多,量化級分得越多,量化誤差就可以減小到0。( ) 一個N位逐次逼近型A/D轉(zhuǎn)換器完成一次轉(zhuǎn)換要進行N次比較,需要N+2個時鐘脈沖。( ) 雙積分型A/D轉(zhuǎn)換器的轉(zhuǎn)換精度高、抗干擾能力強,因此常用于數(shù)字式儀表中。( ) 采樣定理的規(guī)定,

32、是為了能不失真地恢復(fù)原模擬信號,而又不使電路過于復(fù)雜。( )十七、 填空題1將模擬信號轉(zhuǎn)換為數(shù)字信號,需要經(jīng)過 、 、 、 四個過程。第8章 脈沖波形的產(chǎn)生與整形十八、 選擇題(多選題)1脈沖整形電路有 。A.多諧振蕩器 B.單穩(wěn)態(tài)觸發(fā)器 C.施密特觸發(fā)器 D.555定時器2多諧振蕩器可產(chǎn)生 。A.正弦波 B.矩形脈沖 C.三角波 D.鋸齒波3 石英晶體多諧振蕩器的突出優(yōu)點是 。A.速度高 B.電路簡單 C.振蕩頻率穩(wěn)定 D.輸出波形邊沿陡峭4TTL單定時器型號的最后幾位數(shù)字為 。A.555 B.556 C.7555 D.75565555定時器可以組成 。A.多諧振蕩器 B.單穩(wěn)態(tài)觸發(fā)器 C.

33、施密特觸發(fā)器 D.JK觸發(fā)器6用555定時器組成施密特觸發(fā)器,當(dāng)輸入控制端CO外接10V電壓時,回差電壓為 。A.3.33V B.5V C.6.66V D.10V7以下各電路中, 可以產(chǎn)生脈沖定時。 A.多諧振蕩器 B.單穩(wěn)態(tài)觸發(fā)器 C.施密特觸發(fā)器 D.石英晶體多諧振蕩器十九、 判斷題(正確打,錯誤的打) 施密特觸發(fā)器可用于將三角波變換成正弦波。( ) 施密特觸發(fā)器有兩個穩(wěn)態(tài)。( ) 多諧振蕩器的輸出信號的周期與阻容元件的參數(shù)成正比。( ) 石英晶體多諧振蕩器的振蕩頻率與電路中的R、C成正比。( ) 單穩(wěn)態(tài)觸發(fā)器的暫穩(wěn)態(tài)時間與輸入觸發(fā)脈沖寬度成正比。( ) 單穩(wěn)態(tài)觸發(fā)器的暫穩(wěn)態(tài)維持時間用tW

34、表示,與電路中RC成正比。( ) 采用不可重觸發(fā)單穩(wěn)態(tài)觸發(fā)器時,若在觸發(fā)器進入暫穩(wěn)態(tài)期間再次受到觸發(fā),輸出脈寬可在此前暫穩(wěn)態(tài)時間的基礎(chǔ)上再展寬tW。( ) 施密特觸發(fā)器的正向閾值電壓一定大于負向閾值電壓。( )二十、 填空題1555定時器的最后數(shù)碼為555的是 產(chǎn)品,為7555的是 產(chǎn)品。2施密特觸發(fā)器具有 現(xiàn)象,又稱 特性;單穩(wěn)觸發(fā)器最重 要的參數(shù)為 。3常見的脈沖產(chǎn)生電路有 ,常見的脈沖整形電路有 、 。4為了實現(xiàn)高的頻率穩(wěn)定度,常采用 振蕩器;單穩(wěn)態(tài)觸發(fā)器受到外觸發(fā)時進入 態(tài)。第1章 邏輯代數(shù)基礎(chǔ)答案一、選擇題(多選題)1CD 2C 3B 4ABCD 5AB6CD 7BCD 8. ABC

35、D 9. ACD 10. C11. D 12. BCD 13. D 14.D 15. AD16. AC 17. A二、判斷題1. 2. 3. 4. 5. 6. 7. 8.9.10. 11. 12 13三、填空題1 時間、幅值、1、02 邏輯代數(shù)、邏輯電路3 二進制、八進制、十六進制4 8421BCD碼、2421BCD碼、5421BCD碼、余三碼、格雷碼、奇偶校驗碼5 262.54 B2.B6 11101.1 29.5 1D.8 (0010 1001.0101)7 .11 47.6 27.C 8 .11 136.6 94.75 (1001 0100.0111 0101)9 116 78 4E10布爾 與 或 非 與非 或非 與或非 同或 異或11交換律 分配律 結(jié)合律 反演定律12代入規(guī)則 對偶規(guī)則 反演規(guī)則13A(C+)14A+BC+015(A+B)(+C)(B+C)=(A+B)(+C)16邏輯表達式 真值表 邏輯圖 171 180 19四、思考題1因為數(shù)字信號有在時間和幅值上離散的特點

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論