verilog設(shè)計(jì)一四位計(jì)數(shù)器_第1頁(yè)
verilog設(shè)計(jì)一四位計(jì)數(shù)器_第2頁(yè)
verilog設(shè)計(jì)一四位計(jì)數(shù)器_第3頁(yè)
verilog設(shè)計(jì)一四位計(jì)數(shù)器_第4頁(yè)
verilog設(shè)計(jì)一四位計(jì)數(shù)器_第5頁(yè)
已閱讀5頁(yè),還剩2頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、fpga與硬件描述語(yǔ)言實(shí)驗(yàn)報(bào)告學(xué)院:信息技術(shù)學(xué)院班級(jí):專業(yè):電子信息科學(xué)與技術(shù)姓名:日期:學(xué)號(hào):1. 實(shí)驗(yàn)?zāi)康?、 熟悉行為級(jí)語(yǔ)法;2、 熟悉有限狀態(tài)機(jī)2.1實(shí)驗(yàn)內(nèi)容設(shè)計(jì)一四位計(jì)數(shù)器,進(jìn)行仿真,并檢測(cè)輸出結(jié)果;提示:在時(shí)鐘上升沿,如果復(fù)位信號(hào)有效,則復(fù)位為0,如果復(fù)位信號(hào)無(wú)效,則計(jì)數(shù)器需要加一。2.2實(shí)驗(yàn)內(nèi)容完成一個(gè)序列信號(hào)電路檢測(cè)器,檢測(cè)信號(hào)為10010,當(dāng)檢測(cè)到此序列時(shí)輸出端口輸出高電平,其余時(shí)間輸出低電平。提示:先畫出狀態(tài)轉(zhuǎn)換圖或?qū)懗鰻顟B(tài)轉(zhuǎn)換表,根據(jù)狀態(tài)表或者狀態(tài)圖完成代碼的設(shè)計(jì);編寫測(cè)試模塊對(duì)該功能模塊進(jìn)行仿真。要求實(shí)驗(yàn)報(bào)告包括完整的狀態(tài)轉(zhuǎn)化圖或者轉(zhuǎn)化表。3實(shí)驗(yàn)記錄序列信號(hào)電路檢測(cè)器:

2、3.1功能塊代碼module mian (z, x, clock, clear); output z; reg z; input clock, clear; input x; parameter s0 = 3d0, s1 = 3d1, s2 = 3d2, s3 = 3d3, s5 = 3d5, s4 = 3d4; reg 2:0 state; reg 2:0 next_state; always (posedge clock) if(clear) state = s0; else state = next_state; always (state) begin case(state) s0:

3、show = 0; s1: show = 0; s2: show = 0; s3: show = 0; s4: show = 0; s5: show = 1; endcase end always (x or state) begin case(state) s0: if(x = 0) next_state = s0; else next_state = s1; s1: if(x = 0) next_state = s2; else next_state = s1; s2: if(x = 0) next_state = s3; else next_state = s1; s3: if(x =

4、0) next_state = s0; else next_state = s4; s4: if(x = 0) next_state = s5; else next_state = s1; s5: if(x = 0) next_state = s0; else next_state = s1; endcase endendmodule3.2測(cè)試模塊代碼module stimulus_mv; wire z; reg x; reg clock, clear; mianmvp(z, x, clock, clear); initial begin clock = 0; forever #5 clock

5、 = clock; end initial begin clear = 1; repeat(2)(negedge clock); clear = 0; end initial begin #30 x = 1; #10 x = 0; #10 x = 0; #10 x = 1; #10 x = 0; endendmodule 3.3仿真結(jié)果:1. 輸出:四位計(jì)數(shù)器3.1功能塊代碼module counter(out, clock, clear); output out; input clock, clear; reg 3:0 out; always (posedge clock or negedg

6、e clear) begin if(clear) out = 4d0; else out = out + 1; endendmodule3.2測(cè)試模塊代碼module counter_stimulus; reg clock, clear; wire 3:0 out; initial $monitor($time, count = %b , clear = %b, out3:0, clear); counter mvp(out, clock, clear); always begin clear = 1b1; #15 clear = 1b0; #200 clear = 1b1; #50 clear = 1b1; end initial begin clock = 1b0; forever #5 c

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論