版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、河南機(jī)電高等??茖W(xué)校可編程邏輯器件原理與應(yīng)用課程設(shè)計(jì)報(bào)告交通控制器系統(tǒng)設(shè)計(jì)專業(yè)班級(jí): 醫(yī)電131 姓 名: 徐長偉 學(xué) 號(hào): 130411116 時(shí) 間: 2015年6月 成 績: 交通燈控制系統(tǒng)的設(shè)計(jì)摘要:隨著時(shí)代的發(fā)展交通事故也急劇增加,為了改善交通秩序減少交通事故,交通燈起著越來越重要的作用。交通燈安裝在各個(gè)路口上,需要交通燈控制器來對(duì)它進(jìn)行控制,所以設(shè)計(jì)交通燈控制器有著至關(guān)重要的作用。隨著EDA技術(shù)的大力發(fā)展,F(xiàn)PGA等數(shù)字可編程器件的出現(xiàn),數(shù)字出租車計(jì)費(fèi)器的設(shè)計(jì)也就變得更加簡單,而且性能更穩(wěn)定、能實(shí)現(xiàn)較復(fù)雜的功能,且運(yùn)用EDA軟件可方便的在計(jì)算機(jī)上實(shí)現(xiàn)設(shè)計(jì)與仿真。本設(shè)計(jì)基于VHDL(
2、FPGA)語言是電子設(shè)計(jì)領(lǐng)域中最具活力和發(fā)展前途的一項(xiàng)技術(shù),未來必定會(huì)取代部分落伍的數(shù)字元元件。隨著電子技術(shù)的不斷發(fā)展與進(jìn)步,集成電路的設(shè)計(jì)方法也在不斷地更新。鍵關(guān)詞:交通燈、控制器、VHDL語言。目錄一、概述4二、課程設(shè)計(jì)要求5三、總體構(gòu)思6四、硬件電路的設(shè)計(jì)8五、軟件設(shè)計(jì)10六仿真及下載調(diào)試186.1仿真186.2程序下載21七總結(jié)與展望24參考文獻(xiàn)251 概述現(xiàn)代社會(huì)的標(biāo)志之一就是信息產(chǎn)品的廣泛使用,而且是產(chǎn)品的性能越來越強(qiáng),復(fù)雜程度越來越高,更新步伐越來越快。支撐信息電子產(chǎn)品高速發(fā)展的基礎(chǔ)就是微電子制造工藝水平的提高和電子產(chǎn)品設(shè)計(jì)開發(fā)技術(shù)的發(fā)展。前者以微細(xì)加工技術(shù)為代表,而后者的代表就
3、是電子設(shè)計(jì)自動(dòng)化(electronic design automatic,EDA)技術(shù)。本設(shè)計(jì)采用的VHDL是一種全方位的硬件描述語言,具有極強(qiáng)的描述能力,能支持系統(tǒng)行為級(jí)、寄存器傳輸級(jí)和邏輯門級(jí)三個(gè)不同層次的設(shè)計(jì);支持結(jié)構(gòu)、數(shù)據(jù)流、行為三種描述形式的混合描述、覆蓋面廣、抽象能力強(qiáng),因此在實(shí)際應(yīng)用中越來越廣泛。ASIC是專用的系統(tǒng)集成電路,是一種帶有邏輯處理的加速處理器。而FPGA是特殊的ASIC芯片,與其他的ASIC芯片相比,它具有設(shè)計(jì)開發(fā)周期短、設(shè)計(jì)制造成本低、開發(fā)工具先進(jìn)、標(biāo)準(zhǔn)產(chǎn)品無需測試、質(zhì)量穩(wěn)定以及可實(shí)時(shí)在線檢測等優(yōu)點(diǎn)。在控制系統(tǒng)中,鍵盤是常用的人機(jī)交換接口,當(dāng)所設(shè)置的功能鍵或數(shù)字鍵
4、按下的時(shí)候,系統(tǒng)應(yīng)該完成該鍵所設(shè)置的功能。因此,鍵信息輸入是與軟件結(jié)構(gòu)密切相關(guān)的過程。根據(jù)鍵盤的結(jié)構(gòu)不同,采用不同的編碼方法。但無論有無編碼以及采用什么樣的編碼,最后都要轉(zhuǎn)換成為相應(yīng)的鍵值,以實(shí)現(xiàn)按鍵功能程序的轉(zhuǎn)移。現(xiàn)在是一個(gè)知識(shí)爆炸的新時(shí)代。新產(chǎn)品、新技術(shù)層出不窮,電子技術(shù)的發(fā)展更是日新月異??梢院敛豢鋸埖恼f,電子技術(shù)的應(yīng)用無處不在,電子技術(shù)正在不斷地改變我們的生活,改變著我們的世界。在這快速發(fā)展的年代,時(shí)間對(duì)人們來說是越來越寶貴,在快節(jié)奏的生活時(shí),人們往往忘記了時(shí)間,一旦遇到重要的事情而忘記了時(shí)間,這將會(huì)帶來很大的損失。因此我們需要一個(gè)定時(shí)系統(tǒng)來提醒這些忙碌的人。數(shù)字化的鐘表給人們帶來了極
5、大的方便。近些年,隨著科技的發(fā)展和社會(huì)的進(jìn)步,人們對(duì)數(shù)字鐘的要求也越來越高,傳統(tǒng)的時(shí)鐘已不能滿足人們的需求。多功能數(shù)字鐘不管在性能還是在樣式上都發(fā)生了質(zhì)的變化,有電子鬧鐘、數(shù)字鬧鐘等等。2 課程設(shè)計(jì)要求隨著各種交通工具的發(fā)展和交通指揮的需要,交通燈的誕生大大改善了城市交通狀況。要求設(shè)計(jì)一個(gè)交通燈控制器 ,控制十字路口主、支兩條道路的紅、綠、黃三色燈,指揮車輛和行人的安全通行。具體要求: 設(shè)計(jì)一個(gè)十字路口的交通燈控制器,能顯示十字路口東西、南北兩個(gè)方向紅、黃、綠燈的指示狀態(tài)。用兩組紅、黃、 綠三種顏色的燈分別作為東西、南北兩個(gè)方向 紅、黃、綠等。變化規(guī)律為:東西綠燈亮,南北紅燈亮東西黃燈亮,南北
6、紅燈亮東西紅燈亮,南北綠燈亮東西紅燈亮,南北黃燈亮東西綠燈亮,南北紅燈亮······,這樣循環(huán)下去。南北方向是主干道車道,東西方向是支干道車道,要求兩條交叉道路上的車輛交替運(yùn)行,主干道每次通行時(shí)間為30秒,支干道每次通行時(shí)間為20秒,時(shí)間可設(shè)置修改。 綠燈轉(zhuǎn)為紅燈時(shí),要求黃燈先亮5秒鐘,才能變換運(yùn)行車道。要求交通燈控制器有復(fù)位功能,并要求所有交通燈的狀態(tài)變化在時(shí)鐘脈沖上升沿處。3 總體構(gòu)思根據(jù)交通燈系統(tǒng)設(shè)計(jì)要求,可以用一個(gè)有限狀態(tài)機(jī)來實(shí)現(xiàn)這個(gè)交通燈控制器。首先根據(jù)功能要求,明確兩組交通燈的狀態(tài),這兩組交通燈總共共有四種狀態(tài),我們用ST
7、0,ST1,ST2,ST3 來表示:St0表示主路綠燈亮,支路紅燈亮; St1表示主路黃燈亮,支路紅燈亮;St2表示主路紅燈亮,支路綠燈亮;St3表示主路紅燈亮,支路黃燈亮。(1)根據(jù)上述四種狀態(tài)描述列出的狀態(tài)轉(zhuǎn)換表如表3-1所示。 表3-1交通燈控制器狀態(tài)轉(zhuǎn)換表當(dāng)前狀態(tài)下一狀態(tài)轉(zhuǎn)換條件St0St1主路綠燈亮了25秒St1St2主路黃燈亮了5 秒St2St3主路綠燈亮了 15秒St3St0支路黃燈亮了5秒St0 or st1 or st2 or st3St0復(fù)位信號(hào)使能(2)根據(jù)狀態(tài)轉(zhuǎn)換表得到交通燈控制器的狀態(tài)轉(zhuǎn)移圖如圖3-1所示。 St0St3St1St2 圖3-1交通燈控制器的狀態(tài)轉(zhuǎn)移圖(
8、3)交通燈控制系統(tǒng)的本質(zhì)就是一個(gè)如圖3-2所示的控制器模塊。 圖3-2交通燈控制器結(jié)構(gòu)示意圖其中,clk為時(shí)鐘信號(hào),時(shí)鐘上升沿有效。Rst為復(fù)位信號(hào),高電平有效。lgt1_red,lgt1_yellow、lgt1_green,分別表示主干道的紅燈,黃燈,綠燈顯示信號(hào),高電平有效。lgt2_red,lgt2_yellow,lgt2_green分別表示支路的紅燈,黃燈,綠燈顯示信號(hào), 4 硬件電路的設(shè)計(jì)硬件電路的設(shè)計(jì)包括狀態(tài)控制模塊、分頻器模塊、轉(zhuǎn)換器模塊、TOP文件模塊的圖形設(shè)計(jì)。(1)狀態(tài)控制模塊的圖形符號(hào)如圖4-1所示。圖4-1狀態(tài)控制模塊圖形(2)分頻器的圖形模塊如圖4-2所示。圖4-2分
9、頻器模塊圖形實(shí)現(xiàn)頻率由5MHZ到1Hz的轉(zhuǎn)變,達(dá)到我們素需要的1S的要求。(3)轉(zhuǎn)換器的圖形模塊如圖4-3所示。圖4-3轉(zhuǎn)換器模塊圖形實(shí)現(xiàn)BCD碼轉(zhuǎn)化成數(shù)碼塊中顯示的數(shù)字。(4)TOP文件的圖形模塊如圖4-4所示。圖4-4TOP文件模塊圖形5軟件設(shè)計(jì)軟件的設(shè)計(jì)包括對(duì)控制狀態(tài)模塊、分頻器、轉(zhuǎn)換器和頂層模塊的設(shè)計(jì),以下分別為控制狀態(tài)模塊、分頻器、轉(zhuǎn)換器和頂層模塊的代碼。(1)狀態(tài)控制模塊的代碼如下。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY traffic_ctrl IS GENE
10、RIC( green1_cnt:INTEGER:=25; -定義主通道綠燈亮的時(shí)間,這里為25秒 yellow1_cnt:INTEGER:=5; -定義主通道黃燈亮的時(shí)間,這里為5秒 green2_cnt:INTEGER:=15; -定義支路綠燈亮的時(shí)間,這里為15秒 yellow2_cnt:INTEGER:=5 -定義支路黃燈亮的時(shí)間,這里為5秒 ); PORT( CLK:IN STD_LOGIC; -時(shí)鐘信號(hào) rst:IN STD_LOGIC; -復(fù)位信號(hào) lgt1_red:OUT STD_LOGIC; -主通道紅燈控制信號(hào) lgt1_yellow:OUT STD_LOGIC; -主通道黃
11、燈控制信號(hào) lgt1_green:OUT STD_LOGIC; -主通道綠燈控制信號(hào) lgt2_red:OUT STD_LOGIC; -支路紅燈控制信號(hào) lgt2_yellow:OUT STD_LOGIC; -支路黃燈控制信號(hào) lgt2_green:OUT STD_LOGIC -支路綠燈控制信號(hào) );END ENTITY traffic_ctrl;ARCHITECTURE rt1 OF traffic_ctrl IS -定義結(jié)構(gòu)體TYPE STATES IS(st0,st1,st2,st3); -定義控制器各種狀態(tài)SIGNAL state:states:=st0; -初始化狀態(tài)SIGNAL c
12、nt:integer range 0 to 30:=1;-定義計(jì)數(shù)器SIGNAL cnt_enb:std_logic:='0' -初始化計(jì)數(shù)器使能信號(hào)BEGIN PROCESS(CLK,rst) BEGIN IF(rst='1') THEN -復(fù)位信號(hào)為高電平則執(zhí)行復(fù)位操作 state<=st0; cnt<=1; ELSIF(rising_edge(CLK) THEN -時(shí)鐘上升沿 IF(cnt_enb='1') THEN -計(jì)數(shù)器計(jì)數(shù) cnt<=cnt+1; ELSE cnt<=1; END IF; CASE state
13、 IS WHEN st0=> -主通道綠燈亮了一段時(shí)間適時(shí)轉(zhuǎn)換狀態(tài)st1 IF(cnt=green1_cnt) THEN state<=st1; ELSE state<=st0; END IF; WHEN st1=> -主通道黃燈亮了一段時(shí)間適時(shí)轉(zhuǎn)換狀態(tài)st2 IF(cnt=yellow1_cnt) THEN state<=st2; ELSE state<=st1; END IF; WHEN st2=> -支路綠燈亮了一段時(shí)間適時(shí)轉(zhuǎn)換狀態(tài)st3 IF(cnt=green2_cnt) THEN state<=st3; ELSE state<=
14、st2; END IF; WHEN st3=> -支路黃燈亮了一段時(shí)間適時(shí)轉(zhuǎn)換狀態(tài)st0 IF(cnt=yellow2_cnt) THEN state<=st0; ELSE state<=st3; END IF; END CASE; END IF; END PROCESS; PROCESS(state) BEGIN CASE state IS WHEN st0=> -st0表示主路綠燈亮,支路紅燈亮 lgt1_red<='0' lgt1_yellow<='0' lgt1_green<='1' lgt2_r
15、ed<='1' lgt2_yellow<='0' lgt2_green<='0' cnt_enb<='1' IF(cnt=green1_cnt) THEN cnt_enb<='0' END IF; WHEN st1=> -st1表示主路黃燈亮,支路紅燈亮 lgt1_red<='0' lgt1_yellow<='1' lgt1_green<='0' lgt2_red<='1' lgt2_yell
16、ow<='0' lgt2_green<='0' cnt_enb<='1' IF(cnt=yellow1_cnt) THEN cnt_enb<='0' END IF; WHEN st2=> -st2表示主路紅燈亮,支路綠燈亮 lgt1_red<='1' lgt1_yellow<='0' lgt1_green<='0' lgt2_red<='0' lgt2_yellow<='0' lgt2_gre
17、en<='1' cnt_enb<='1' IF(cnt=green2_cnt) THEN cnt_enb<='0' END IF; WHEN st3=> -st3表示主路紅燈亮,支路黃燈亮 lgt1_red<='1' lgt1_yellow<='0' lgt1_green<='0' lgt2_red<='0' lgt2_yellow<='1' lgt2_green<='0' cnt_enb&l
18、t;='1' IF(cnt=yellow2_cnt) THEN cnt_enb<='0' END IF; END CASE; END PROCESS;END rt1;(2)分頻器的代碼:LIBRARY IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpin ISPORT(CLK: IN STD_LOGIC; OUTCLK: BUFFER STD_LOGIC);END fenpin;ARCHITEC
19、TURE one OF fenpin ISSIGNAL CLK1,CLK2: STD_LOGIC;BEGIN PROCESS(CLK)VARIABLE cnt: INTEGER range 0 to 4; -500khz 50M/500k=100BEGIN IF clk'event AND clk='1' THEN IF cnt=4 THEN OUTCLK<= not OUTCLK; cnt:=0; ELSE cnt:=cnt+1; END IF; END IF;END PROCESS;-PROCESS(CLK1)-VARIABLE cnt: INTEGER ra
20、nge 0 to 49; -5khz 500k/5k=100-BEGIN -IF CLK1'event AND CLK1='1' THEN - IF cnt=49 THEN CLK2<= not CLK2; cnt:=0; -ELSE cnt:=cnt+1; -END IF; - END IF;-END PROCESS;-PROCESS(CLK2)-variable cnt: integer range 0 to 49; -50hz 5k/50=100-BEGIN -IF CLK2'event AND CLK2='1' THEN - IF
21、cnt=49 THEN CLK4<= not CLK4; cnt:=0; - ELSE cnt:=cnt+1; -END IF; -END IF;-END PROCESS;-PROCESS(CLK3)-variable cnt: integer range 0 to 24; -1hz 50/50=1-BEGIN- IF CLK3'event AND CLK3='1' THEN- IF cnt=24 THEN OUTCLK<= not OUTCLK; cnt:=0;- ELSE cnt:=cnt+1;- END IF;- END IF;-END PROCESS
22、;END one;(3)轉(zhuǎn)換器的代碼:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_arith.ALL;ENTITY zhuanhuan ISPORT( clk:in std_logic;zhitime:in STD_LOGIC_VECTOR(6 DOWNTO 0); zhutime:in STD_LOGIC_VECTOR(6 DOWNTO 0);outtime: out STD_LOGIC_VECTOR(7 DOWNTO 0);scan: out STD_
23、LOGIC_VECTOR(0 to 7 );END zhuanhuan;ARCHITECTURE behavior OF zhuanhuan ISSIGNAL data1: STD_LOGIC_VECTOR (7 DOWNTO 0);SIGNAL data2: STD_LOGIC_VECTOR (7 DOWNTO 0);SIGNAL data: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL datatime1: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL datatime10: STD_LOGIC_VECTOR (3 DOWNTO 0)
24、;SIGNAL datatime2: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL datatime20: STD_LOGIC_VECTOR (3 DOWNTO 0);BEGINdatatime10<=data1(7 downto 4);datatime1<=data1(3 downto 0);datatime20<=data2(7 downto 4);datatime2<=data2(3 downto 0); WITH zhitime SELECTdata1 <="00000000" WHEN "0000
25、000", "00000001" WHEN "0000001", "00000010" WHEN "0000010","00000011" WHEN "0000011","00000100" WHEN "0000100","00000101" WHEN "0000101","00000110" WHEN "0000110","000001
26、11" WHEN "0000111","00001000" WHEN "0001000","00001001" WHEN "0001001","00010000" WHEN "0001010", "00010001" WHEN "0001011", "00010010" WHEN "0001100","00010011" WHEN "00
27、01101","00010100" WHEN "0001110","00010101" WHEN "0001111","00010110" WHEN "0010000","00010111" WHEN "0010001","00011000" WHEN "0010010","00011001" WHEN "0010011","001000
28、00" WHEN "0010100", "00100001" WHEN "0010101", "00100010" WHEN "0010110","00100011" WHEN "0010111","00100100" WHEN "0011000","00100101" WHEN "0011001","00100110" WHEN "00
29、11010","00100111" WHEN "0011011","00101000" WHEN "0011100","00101001" WHEN "0011101","00110000" WHEN others;WITH zhutime SELECTdata2 <="00000000" WHEN "0000000", "00000001" WHEN "0000001&
30、quot;, "00000010" WHEN "0000010","00000011" WHEN "0000011","00000100" WHEN "0000100","00000101" WHEN "0000101","00000110" WHEN "0000110","00000111" WHEN "0000111","00001000&qu
31、ot; WHEN "0001000","00001001" WHEN "0001001","00010000" WHEN "0001010", "00010001" WHEN "0001011", "00010010" WHEN "0001100","00010011" WHEN "0001101","00010100" WHEN "0001110
32、","00010101" WHEN "0001111","00010110" WHEN "0010000","00010111" WHEN "0010001","00011000" WHEN "0010010","00011001" WHEN "0010011","00100000" WHEN "0010100", "00100001&q
33、uot; WHEN "0010101", "00100010" WHEN "0010110","00100011" WHEN "0010111","00100100" WHEN "0011000","00100101" WHEN others;process(clk,datatime20,datatime2,datatime10,datatime1)variable cnt: std_logic_vector(1 downto 0);b
34、eginif clk'event and clk='1' thencnt:=cnt+1;end if;case cnt iswhen"00"=> data<=datatime2; scan<="11111110"when"01"=> data<=datatime20; scan<="11111101"when"10"=> data<=datatime1; scan<="10111111"when&q
35、uot;11"=> data<=datatime10; scan<="01111111"end case;end process;process(data)begincase data iswhen"0000"=> outtime<="11111100"when"0001"=> outtime<="01100000"when"0010"=> outtime<="11011010"when&qu
36、ot;0011"=> outtime<="11110010"when"0100"=> outtime<="01100110"when"0101"=> outtime<="10110110"when"0110"=> outtime<="10111110"when"0111"=> outtime<="11100000"when"1000&qu
37、ot;=> outtime<="11111110"when"1001"=> outtime<="11110110"when others=> outtime<="00000000"end case;end process;END behavior;(4)頂層模塊的代碼:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY top ISPORT( CLK: IN STD_LOGIC;rst: IN STD_LOGIC; lgt1_red:O
38、UT STD_LOGIC; lgt1_yellow:OUT STD_LOGIC; lgt1_green:OUT STD_LOGIC; lgt2_red:OUT STD_LOGIC; lgt2_yellow:OUT STD_LOGIC; lgt2_green:OUT STD_LOGIC);END top;ARCHITECTURE behvior OF top ISCOMPONENT traffic_ctrl ISPORT( CLK,rst: IN STD_LOGIC; lgt1_red:OUT STD_LOGIC; lgt1_yellow:OUT STD_LOGIC; lgt1_green:OU
39、T STD_LOGIC; lgt2_red:OUT STD_LOGIC; lgt2_yellow:OUT STD_LOGIC; lgt2_green:OUT STD_LOGIC);END COMPONENT traffic_ctrl;COMPONENT fenpin ISPORT(CLK: IN STD_LOGIC; OUTCLK: BUFFER STD_LOGIC);END COMPONENT;SIGNAL clkjtd: STD_LOGIC;BEGINU1:fenpin PORT MAP(CLK,clkjtd); U2:traffic_ctrl PORT MAP(clkjtd,rst,lg
40、t1_red,lgt1_yellow,lgt1_green,lgt2_red,lgt2_yellow,lgt2_green);END behvior;6 仿真及下載調(diào)試6.1仿真對(duì)該工程的仿真包括對(duì)狀態(tài)控制模塊、分頻器、轉(zhuǎn)換器和頂層模塊的功能和時(shí)序仿真。(1)狀態(tài)控制模塊的仿真如圖6-1、6-2所示。圖6-1控制模塊的功能仿真圖圖6-2控制模塊的時(shí)序仿真圖從圖中可看到首先進(jìn)入st0狀態(tài),此時(shí)主路綠燈亮,支路紅燈亮;計(jì)數(shù)器計(jì)數(shù)到25時(shí),交通杰控制器狀機(jī)進(jìn)入st1狀態(tài),計(jì)數(shù)器清零,此時(shí)主路黃燈亮,支路紅燈亮;在st1狀態(tài)計(jì)數(shù)器又開始計(jì)數(shù),計(jì)數(shù)器計(jì)數(shù)到5秒后,交通燈控制器狀態(tài)機(jī)進(jìn)入st2,計(jì)數(shù)器清零
41、,此時(shí)主路紅燈亮,支路綠燈亮;在st2狀態(tài)計(jì)數(shù)器又開始計(jì)數(shù),計(jì)數(shù)器計(jì)數(shù)到15秒后,交通燈控制器狀機(jī)進(jìn)入st3狀態(tài),計(jì)數(shù)清零,此時(shí)計(jì)數(shù)主路紅燈亮,支路綠燈亮;在st3狀態(tài)計(jì)數(shù)器又開始計(jì)數(shù),計(jì)數(shù)器計(jì)數(shù)到5秒后,交通燈控制器狀態(tài)機(jī)進(jìn)入st0狀態(tài),計(jì)數(shù)器清零,此時(shí)主路綠燈亮,支路紅燈亮,如些循環(huán)反復(fù),完成十字交通路口的紅綠燈控制。(2)分頻器的仿真圖如圖6-3、6-4所示。圖6-3五倍分頻功能仿真圖圖6-4五倍分頻時(shí)序仿真圖(3)轉(zhuǎn)換器的仿真如圖6-5所示。圖6-5轉(zhuǎn)換器的仿真圖從仿真波形圖可以看出,設(shè)計(jì)程序完全滿足BCD碼轉(zhuǎn)化成數(shù)碼塊中顯示的數(shù)字。(4)頂層模塊的仿真如圖6-6、6-7所示。圖6-6功能仿真圖圖6-7時(shí)序仿真圖從仿真波形圖可以看出,設(shè)計(jì)的程序完全滿足交通燈控制器的主通道和支路控制紅綠燈等的功能要求。首先進(jìn)入st0狀態(tài),此時(shí)主路綠燈亮,支路
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 《工業(yè)自動(dòng)化控制系統(tǒng)運(yùn)動(dòng)控制內(nèi)核的設(shè)計(jì)與實(shí)現(xiàn)》
- 《針刀聯(lián)合甲鈷胺穴位注射治療腕管綜合征的臨床療效觀察》
- 2024至2030年中國反擊式破碎機(jī)襯板數(shù)據(jù)監(jiān)測研究報(bào)告
- 無人駕駛技術(shù)在物業(yè)管理服務(wù)中的應(yīng)用實(shí)踐考核試卷
- 2024至2030年中國盤式片燒蝕機(jī)數(shù)據(jù)監(jiān)測研究報(bào)告
- 2024-2030年中國柴油機(jī)掃氣箱產(chǎn)業(yè)未來發(fā)展趨勢及投資策略分析報(bào)告
- 部編版一年級(jí)下冊(cè)語文期末考試試卷及答案
- 2024至2030年中國氯化膽堿溶液數(shù)據(jù)監(jiān)測研究報(bào)告
- 2024-2030年中國服裝行業(yè)銷售模式及投資前景展望報(bào)告
- 2024-2030年中國新零售行業(yè)銷售規(guī)模與未來經(jīng)營效益預(yù)測報(bào)告版
- 大學(xué)生勞動(dòng)教育-合肥工業(yè)大學(xué)中國大學(xué)mooc課后章節(jié)答案期末考試題庫2023年
- 訴訟前民事調(diào)解委托書
- 孩子探視權(quán)起訴書
- 國家開放大學(xué)一網(wǎng)一平臺(tái)電大《當(dāng)代中國政治制度》形考任務(wù)1-4網(wǎng)考題庫及答案
- 無人機(jī)基礎(chǔ) 教案
- 機(jī)電運(yùn)輸專項(xiàng)檢查實(shí)施方案
- 英語語法與長難句理解知到章節(jié)答案智慧樹2023年山東石油化工學(xué)院
- 淮劇專題講座
- 兒科學(xué)教學(xué)課件:兒童股骨干骨折
- 手機(jī)攝影入門演示文稿
- GB/T 3477-2008船用風(fēng)雨密單扇鋼質(zhì)門
評(píng)論
0/150
提交評(píng)論