基于FPGA的紅外線型學(xué)習(xí)遙控器_第1頁
基于FPGA的紅外線型學(xué)習(xí)遙控器_第2頁
基于FPGA的紅外線型學(xué)習(xí)遙控器_第3頁
基于FPGA的紅外線型學(xué)習(xí)遙控器_第4頁
基于FPGA的紅外線型學(xué)習(xí)遙控器_第5頁
已閱讀5頁,還剩10頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、重慶大學(xué)本科生課外實(shí)踐技術(shù)報(bào)告項(xiàng)目名稱:基于FPGA的學(xué)習(xí)型紅外遙控器設(shè)計(jì)學(xué)院:專業(yè):指導(dǎo)老師:實(shí)驗(yàn)日期:引言隨著人們生活水平的提高,家用電器的種類增多,紅外遙控器的種類也隨之增加,不同種類的遙控器之間由于采用的編碼格式不同,使得不同產(chǎn)品的遙控器不能相互兼容,用戶在使用的過程中容易產(chǎn)生混亂,給人們的生活帶來諸多不便。針對這一現(xiàn)況,萬能遙控器便應(yīng)需出現(xiàn)了,目前主要分為兩種類型,編碼型和學(xué)習(xí)型。編碼型萬能遙控器需要各大電器制造商生產(chǎn)的遙控器的控制碼編碼格式,使用時選定相應(yīng)機(jī)型,遙控器便可提取出其編碼,再和38k的載波進(jìn)行ASK調(diào)制便可驅(qū)動紅外線發(fā)射管進(jìn)行控制信號發(fā)射了,但其顯著的缺點(diǎn)是使用時需要查

2、詢?nèi)唛L的機(jī)器型號手冊。相對之下另外一種學(xué)習(xí)型的萬能遙控器機(jī)理就比較簡單了,其對不同遙控器發(fā)出的信號(解調(diào)后的信號)進(jìn)行記錄,待控制時調(diào)用出存儲的信號對載波進(jìn)行調(diào)制,便可驅(qū)動紅外線發(fā)射管發(fā)射紅外線對設(shè)備進(jìn)行控制了。通過對比這兩種萬能遙控器的設(shè)計(jì)方案可知,相對編碼型遙控器,自主學(xué)習(xí)型遙控器原理簡單,實(shí)現(xiàn)較為方便?;诖吮疚膰L試著設(shè)計(jì)了一款基于FPGA的紅外線學(xué)習(xí)型遙控器,主要由FPGA控制模塊、紅外線接收模塊、紅外線發(fā)射模塊和存儲模塊組成。在本文中詳細(xì)的給出了設(shè)計(jì)的原理、技術(shù)方案、軟硬件的實(shí)現(xiàn)過程等,并在附錄中給出了各單元電路圖、主程序和仿真電路圖。1 設(shè)計(jì)方案與指標(biāo)1.1 設(shè)計(jì)原理簡介基于FPG

3、A制作一個紅外遙控器,并可通過程序控制記憶按鍵功能,達(dá)到遙控器的自主學(xué)習(xí)功能,其實(shí)現(xiàn)原理框圖如下:圖1系統(tǒng)框圖由原理框圖可知,本設(shè)計(jì)主要由5個部分組成,F(xiàn)PGA控制器、紅外線發(fā)射器、紅外線接收器、學(xué)習(xí)遙控指示燈和操作鍵組成。遙控器自主學(xué)習(xí)工作進(jìn)程如下:一、紅外線接收器接收紅外信號;二、紅外接收模塊對信號進(jìn)行放大、檢波、整形,解調(diào)出基帶信號;三、FPGA控制器將解調(diào)出的信號的高、低電平的時間寬度進(jìn)行儲存;四、當(dāng)要發(fā)射紅外信號時,從儲存區(qū)中還原出相應(yīng)的紅外遙控編碼,以其對38kHz的載波信號進(jìn)行調(diào)制;五、調(diào)制出的控制信號驅(qū)動紅外發(fā)光二極管發(fā)射紅外信號,從而實(shí)現(xiàn)對設(shè)備的控制。紅外編碼解碼原理:遙控發(fā)

4、射器專用芯片很多,根據(jù)編碼格式可以分成兩大類,這里我們采用的是NEC類型。當(dāng)發(fā)射器按鍵按下后,即有遙控碼發(fā)出,所按的鍵不同遙控編碼也不同。這種遙控碼具有以下特征:    采用脈寬調(diào)制的串行碼,以脈寬為0.565ms、間隔0.56ms、周期為1.125ms的組合表示二進(jìn)制的“0”;以脈寬為0.565ms、間隔1.685ms、周期為2.25ms的組合表示二進(jìn)制的“1”,其波形如圖2所示。上述“0”和“1”組成的32位二進(jìn)制碼經(jīng)38kHz的載頻進(jìn)行二次調(diào)制以提高發(fā)射效率,達(dá)到降低電源功耗的目的。然后再通過紅外發(fā)射二極管產(chǎn)生紅外線向空間發(fā)射,如圖3所示。遙控編碼

5、是連續(xù)的32位二進(jìn)制碼組,其中前16位為用戶識別碼,能區(qū)別不同的電器設(shè)備,防止不同機(jī)種遙控碼互相干擾。該芯片的用戶識別碼固定為十六進(jìn)制01H;后16位為8位操作碼(功能碼)及其反碼。遙控器在按鍵按下后,周期性地發(fā)出同一種32位二進(jìn)制碼,周期約為108ms。一組碼本身的持續(xù)時間隨它包含的二進(jìn)制“0”和“1”的個數(shù)不同而不同,大約在4563ms之間,圖4為發(fā)射波形圖。    當(dāng)一個鍵按下超過36ms,振蕩器使芯片激活,將發(fā)射一組108ms的編碼脈沖,這108ms發(fā)射代碼由一個起始碼(9ms),一個結(jié)果碼(4.5ms),低8位地址碼(9ms18ms),高8位地

6、址碼(9ms18ms),8位數(shù)據(jù)碼(9ms18ms)和這8位數(shù)據(jù)的反碼(9ms18ms)組成。如果鍵按下超過108ms仍未松開,接下來發(fā)射的代碼(連發(fā)代碼)將僅由起始碼(9ms)和結(jié)束碼(2.5ms)組成。 解碼的關(guān)鍵是如何識別“0”和“1”,從位的定義我們可以發(fā)現(xiàn)“0”、“1”均以0.56ms的低電平開始,不同的是高電平的寬度不同,“0”為0.56ms,“1”為1.68ms,所以必須根據(jù)高電平的寬度區(qū)別“0”和“1”。如果從0.56ms低電平過后,開始延時,0.56ms以后,若讀到的電平為低,說明該位為“0”,反之則為“1”,為了可靠起見,延時必須比0.56ms長些,但又不能超過1

7、.12ms,否則如果該位為“0”,讀到的已是下一位的高電平,因此?。?.12ms+0.56ms)/2=0.84ms最為可靠,一般取0.84ms左右均可。 根據(jù)碼的格式,應(yīng)該等待9ms的起始碼和4.5ms的結(jié)果碼完成后才能讀碼。1.2 技術(shù)指標(biāo)實(shí)現(xiàn)對能夠通過學(xué)習(xí)來控制大多數(shù)需要紅外線控制的設(shè)備(如:電視、空調(diào)等),并且設(shè)備能正確執(zhí)行控制指令。2 遙控器硬件組成2.1 器件的選擇在本設(shè)計(jì)中,控制模塊利用的是手中已有的資源,Altera公司的芯片EP3C10E144C7,紅外線發(fā)射模塊選用Arduino電子積木紅外發(fā)射模塊IR Transmitter,紅外線接收模塊選用TSOP4838。并且購進(jìn)了一

8、個組合的紅外接收發(fā)射模塊發(fā)射模塊,該模塊基于940紅外發(fā)射管,高電平驅(qū)動,所需拉電流小,控制簡易,應(yīng)用廣泛,接收模塊基于HL-A838紅外一體化接收頭,可接收38KHz的紅外信號,并解調(diào)成邏輯電平,即收到信號即輸出低電平,否則輸出高電平。低功耗、寬角度及長距離接收。2.2 PCB板的繪制和投板3 程序設(shè)計(jì)系統(tǒng)的程序設(shè)計(jì)部分主要有以下幾個具體模塊電路:按鍵去抖動、分頻模塊、紅外發(fā)射、紅外接收、存儲模塊(各個模塊VHDL程序見附錄)其系統(tǒng)頂層電路原理圖如下所示:圖2 系統(tǒng)頂層設(shè)計(jì)原理圖4設(shè)計(jì)成果展示硬件完整電路圖片以及操作視頻(視頻在文件夾里)5總結(jié)通過本次設(shè)計(jì)一個實(shí)用的萬能遙控器,我們對課題設(shè)計(jì)

9、的完整流程有了深入的認(rèn)識,包括項(xiàng)目的選擇、資料的收集、元件購買、PCB板的繪制投板、模塊程序設(shè)計(jì)以及報(bào)賬流程等,最主要的是因?yàn)槲覀兊脑O(shè)計(jì)程序在網(wǎng)上的相關(guān)資料很少,所以我們是自己根據(jù)要實(shí)現(xiàn)的功能嘗試著自己寫程序,自己調(diào)試,受益匪淺,不僅很好地鞏固和理解了課堂理論知識,更為以后做項(xiàng)目參加比賽等積累了很好的經(jīng)驗(yàn)。同時,4人小組的合作也很成功,經(jīng)過這次設(shè)計(jì)懂得了團(tuán)隊(duì)協(xié)作和正確分工的重要性!6元件清單名稱數(shù)量單價/元總計(jì)/元貼片電阻1500.1522.5貼片電容1000.2424S9013三極管201.8537IR5308C-C-45201.8537HL-A838203.774排針1*3301.8555

10、.5250附錄去抖動程序LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY hw_qd ISPORT(clk      : IN STD_LOGIC    kin    : IN STD_LOGIC    kout

11、0;  : OUT STD_LOGIC);END ENTITY;ARCHITECTURE behav OF hw_qd IS   SIGNAL kh,kl:std_logic_vector(3 downto 0);BEGINPROCESS(kin, clk)BEGIN    if rising_edge(clk) then    if&#

12、160;(kin='0') then kl<=kl+1;    else kl<="0000"end if; end if;END PROCESS   PROCESS(kin, clk)BEGIN    if rising_edge(clk) then    if (kin='1&#

13、39;) then kh<=kh+1;    else kh<="0000"end if; end if;END PROCESS  PROCESS(clk,kh,kl)BEGIN    if rising_edge(clk) then    if (kh>"1100") then 

14、kout<='1'    elsif (kl>"0111") then kout<='0'    end if; end if;END PROCESS  END behav; 紅外接收程序library ieee;use ieee.std_logic_1164.all;entity hw_InfraredPo

15、rt isport(CLK:in std_logic;-50MHz     RST:in std_logic;IRIN:in std_logic;  RD:in std_logic;  CS:in std_logic;  led:out std_logic;    DATA:out std_logic_vector(31 downto 0);end

16、 entity hw_InfraredPort;architecture one of hw_InfraredPort istype state is(s0,s1,s2,s3,s4);signal sta:state;signal vData:std_logic_vector(31 downto 0);signal clk_1m:std_logic;  -1MHzbeginprocess(CLK)variable clk_counter

17、:integer range 0 to 25;beginif(CLK'event and CLK='1')thenclk_counter:=clk_counter+1;if(clk_counter=25)thenclk_counter:=0;clk_1m<=not clk_1m;end if;end if; end process;process(RST,CLK)variable step:integer range 0 to

18、 32;variable counter:integer range 0 to 10000;beginif(RST='0')thensta<=s0;vData<=X"00000000"led<='0'elsif(clk_1m'event and clk_1m='1')thencase sta iswhen s0=>led<='0'counter:=0; if(IRI

19、N='0')thensta<=s1;end if;when s1=>led<='0'if(IRIN='0')thencounter:=counter+1;elsif(counter>3200)then  -time(9ms) > 3.2mssta<=s2;counter:=0;elsesta<=s0;end if;when s2=>led<='0'if(IRIN='1')thenco

20、unter:=counter+1;if(counter>8000)then   -time(4.5ms) > 8ms,back to beginsta<=s0;end if;elsif(counter<8000)then   -time(4.5ms) < 8ms,continuesta<=s3;counter:=0;step:=0;elsesta<=s0;end if;when s3=>led<

21、;='1'if(IRIN='0')thencounter:=counter+1;elsif(counter<1600)then  -time(0.56ms)<1.6ms,continuesta<=s4;counter:=0;elsesta<=s0;end if;when s4=>led<='1'if(IRIN='1')thencounter:=counter+1;if(counter>3200)then  -time(1.12ms

22、)>3.2ms,back to beginsta<=s0;DATA<=vData;end if;elseif(counter>800)then  -time>0.8ms,get the data 1vData(step)<='1'elsevData(step)<='0' -time<0.8ms,get the data 0end if;step:=step+1;sta<=s3;co

23、unter:=0;end if;end case;end if;end process;end architecture one;存儲寫入程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hw_address isport(clk:in std_logic;     data:in std_logic_

24、vector(31 downto 0);     anjian1:in std_logic;     anjian2:in std_logic;     anjian3:in std_logic;     od:out std_logic_vector(15 downto 0);   &#

25、160; ad:out std_logic_vector(4 downto 0);end entity;architecture behav of hw_address istype state is(s0,s1,s2,s3);signal sta:state;signal addr:std_logic_vector(4 downto 0);beginad<=addr;process(anjian1,anjian2,anjian3,clk)

26、begin if(anjian1='1'and anjian2='1'and anjian3='1')thensta<=s0;od<=x"0000"addr<="00000"   elsif(clk'event and clk ='1')then     case sta is  

27、0;    when s0=>if(anjian1='0')then              od<=x"0000"              addr<="00001"  

28、            sta<=s1;              elsif(anjian2='0')then              od<=x"0000&

29、quot;              addr<="00011"              sta<=s1;              elsif(

30、anjian3='0')then              od<=x"0000"              addr<="00101"         

31、0;    sta<=s1;              end if;     when s1=>addr<=addr;              od<=data(15 do

32、wnto 0);              sta<=s2;     when s2=>addr<=addr+'1'              od<=data(31 downto 16);

33、              sta<=s3;     when s3=>sta<=s3;     end case;end if;end process;存儲讀出程序library ieee;use ieee.std_logic_1164.all;use ieee.std

34、_logic_unsigned.all;entity hw_adout isport(clk:in std_logic;     inin:in std_logic_vector(15 downto 0);     anjian1:in std_logic;     anjian2:in std_logic;     

35、anjian3:in std_logic;     output:out std_logic_vector(31 downto 0);end entity;architecture behav of hw_adout istype state is(s0,s1,s2,s3);signal sta:state;signal in1:std_logic_vector(15 downto 0);sign

36、al out1:std_logic_vector(31 downto 0);beginin1<=inin;output<=out1;process(anjian1,clk)begin if(anjian1='1'and anjian2='1'and anjian3='1')then     sta<=s0;     out1<=x"00000000&quo

37、t;   elsif(clk'event and clk ='1')then     case sta is       when s0=>              out1(15 downto 0

38、)<=in1;              sta<=s1;     when s1=>if(out1=in1)then              sta<=s1;      &

39、#160;       else              out1(15 downto 0)<=in1;              sta<=s2;     

40、0;        end if;     when s2=>if(out1=in1)then              sta<=s2;             &#

41、160;else              out1(31 downto 16)<=in1;              sta<=s3;            

42、0; end if;     when s3=>sta<=s3;     end case;end if;end process;end behav;紅外發(fā)射程序library ieee;use ieee.std_logic_1164.all;entity hw_OUTPUT isport(CLK:in std_logic;-50MHz  

43、60;  kin:in std_logic;    DATA:in std_logic_vector(31 downto 0);     IROUT:out std_logic);end entity hw_OUTPUT architecture one of hw_OUTPUT  istype state is(s0,s1,s2,s3,s4);s

44、ignal sta:state;signal clk_1m:std_logic;  -1MHzsignal clk_38k:std_logic;  -38kHzbeginprocess(CLK)variable clk_counter:integer range 0 to 25;beginif(CLK'event and CLK='1')thenclk_counter:=clk_counter+1;if(clk_counter=25

45、)thenclk_counter:=0;clk_1m<=not clk_1m;end if;end if; end process;process(CLK)variable clk_counter:integer range 0 to 658;beginif(CLK'event and CLK='1')thenclk_counter:=clk_counter+1;if(clk_counter=658)thenclk_counter:=0;clk_38k&l

46、t;=not clk_38k;end if;end if; end process;process(kin,clk_1m)variable step:integer range 0 to 32;variable counter:integer range 0 to 100000;beginif(kin='1')thenIROUT<='0'step:=0;sta<=s1;counter:=0;elsif(clk_1

47、m'event and clk_1m='1')thencase sta is   when s0=>IROUT<='0'     if(counter<100000)then         counter:=counter+1;          else sta<=s1;            &#

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論