簡易數(shù)字頻率計(jì)的設(shè)計(jì)_第1頁
簡易數(shù)字頻率計(jì)的設(shè)計(jì)_第2頁
簡易數(shù)字頻率計(jì)的設(shè)計(jì)_第3頁
簡易數(shù)字頻率計(jì)的設(shè)計(jì)_第4頁
簡易數(shù)字頻率計(jì)的設(shè)計(jì)_第5頁
已閱讀5頁,還剩23頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 簡易數(shù)字頻率計(jì)的設(shè)計(jì)沈陽航空航天大學(xué)北方科技學(xué)院課程設(shè)計(jì)說明書 課設(shè)題目 簡易數(shù)字頻率計(jì)的設(shè)計(jì) 專 業(yè) 電子信息工程 班 級 B141201 學(xué) 號 B04120119 學(xué)生姓名 劉胤麟 指導(dǎo)教師 趙婷婷 日 期 2014.12.5 沈航北方科技學(xué)院 課程設(shè)計(jì)任務(wù)書 教學(xué)系部 信息工程系 專業(yè) 電子信息工程 課程設(shè)計(jì)題目 簡易數(shù)字頻率計(jì)的設(shè)計(jì) 班級 B141201 學(xué)號 B04120119 姓名 劉胤麟 課程設(shè)計(jì)時間: 14 年 11 月 4 日至 14 年 12月5 日 課程設(shè)計(jì)的內(nèi)容及要求:(一)主要內(nèi)容根據(jù)題目及基本要求(技術(shù)指標(biāo))查閱相關(guān)資料和書籍,設(shè)計(jì)(計(jì)算)電路,確定元器件參數(shù)(

2、五天)。待電路設(shè)計(jì)完成后,上機(jī)進(jìn)行電路仿真(使用Multisim)。仿真過程中用到的儀器、調(diào)試方法、排故過程及電路技術(shù)指標(biāo)的測量要做記錄,最終寫到報(bào)告中(十天)。 報(bào)告正文按目錄要求撰寫,其他內(nèi)容見格式說明(五天)。(二)基本要求 1.電路供電電源為單相交流市電。2.每次頻率檢測時間為1s。3.用四位 LED數(shù)碼顯示0-9999Hz。(三)主要參考書低頻電子線路 張肅文 高等教育出版社電子線路集 人民郵電出版社 電子技術(shù)基礎(chǔ)數(shù)字部分康華光 高等教育出版社(四)評語 (五)成績指導(dǎo)教師 年 月 日負(fù)責(zé)教師 年 月 日0摘 要本次課設(shè)是針對簡易數(shù)字頻率計(jì)的設(shè)計(jì)。數(shù)字頻率計(jì)主要由四個部分組成:時基電

3、路,整形電路,控制電路和顯示電路組成。在一個測量周期過程中,由時基電路產(chǎn)生一標(biāo)準(zhǔn)時間信號控制閥門,調(diào)節(jié)時基電路中的電阻可產(chǎn)生需要的標(biāo)準(zhǔn)時間信號。信號輸入整形電路中,經(jīng)過整形,輸出一方波,通過閥門后,計(jì)時器對其計(jì)數(shù)。當(dāng)計(jì)數(shù)完畢,時基電路輸出一個上升沿,使鎖存器打開,計(jì)數(shù)器計(jì)數(shù)結(jié)果輸入譯碼器,從而讓顯示器顯示,達(dá)到測量頻率的目的。關(guān)鍵詞:頻率計(jì);譯碼器;鎖存器;計(jì)數(shù)器;0目 錄1、緒論12、方案設(shè)計(jì)與論證22.1計(jì)數(shù)法22.2計(jì)時法22.3方案的確定33、工作原理、硬件電路的設(shè)計(jì)或參數(shù)的計(jì)算33.1工作原理及框圖33.2時基電路的設(shè)計(jì)與仿真43.3 直流穩(wěn)壓電路設(shè)計(jì)與仿真63.4控制電路設(shè)計(jì)73.

4、5 計(jì)數(shù)器電路93.6鎖存器電路113.7譯碼顯示電路133.8系統(tǒng)的工作原理分析144、總體電路的仿真分析175、實(shí)驗(yàn)心得體會20參考文獻(xiàn)20附錄:元器件清單21附錄:總體電路圖22 III1、緒論隨著電子技術(shù)的發(fā)展,當(dāng)前數(shù)字系統(tǒng)的設(shè)計(jì)正朝著速度快、容量大、體積小、重量輕的方向發(fā)展。推動該潮流迅猛發(fā)展的引擎就是日趨進(jìn)步和完善的設(shè)計(jì)技術(shù)。目前數(shù)字頻率計(jì)的設(shè)計(jì)可以直接面向用戶需求,根據(jù)系統(tǒng)的行為和功能要求,自上至下的逐層完成相應(yīng)的描述、綜合、優(yōu)化、仿真與驗(yàn)證,直到生成器件。上述設(shè)計(jì)過程除了系統(tǒng)行為和功能描述以外,其余所有的設(shè)計(jì)過程幾乎都可以用計(jì)算機(jī)來自動地完成,也就是說做到了電子設(shè)計(jì)自動化(ED

5、A)。這樣做可以大大地縮短系統(tǒng)的設(shè)計(jì)周期,以適應(yīng)當(dāng)今品種多、批量小的電子市場的需求,提高產(chǎn)品的競爭能力。 電子設(shè)計(jì)自動化(EDA)的關(guān)鍵技術(shù)之一是要求用形式化方法來描述數(shù)字系統(tǒng)的硬件電路,即要用所謂硬件描述語言來描述硬件電路。所以硬件描述語言及相關(guān)的仿真、綜合等技術(shù)的研究是當(dāng)今電子設(shè)計(jì)自動化領(lǐng)域的一個重要課題。 硬件描述語言的發(fā)展至今已有幾十年的歷史,并已成功地應(yīng)用到系統(tǒng)的仿真、驗(yàn)證和設(shè)計(jì)綜合等方面。到本世紀(jì)80年代后期,已出現(xiàn)了上百種的硬件描述語言,它們對設(shè)計(jì)自動化起到了促進(jìn)和推動作用。但是,它們大多各自針對特定設(shè)計(jì)領(lǐng)域,沒有統(tǒng)一的標(biāo)準(zhǔn),從而使一般用戶難以使用。廣大用戶所

6、期盼的是一種面向設(shè)計(jì)的多層次、多領(lǐng)域且得到一致認(rèn)同的標(biāo)準(zhǔn)的硬件描述語言。80年代后期由美國國防部開發(fā)的VHDL(VHSIC Hardware Description Language)語言恰好滿足了上述這樣的要求,并在1987年12月由IEEE標(biāo)準(zhǔn)化(定為 IEEE std 1076-1987標(biāo)準(zhǔn),1993年進(jìn)一步修訂,被定為ANSI/IEEE std 1076-1993標(biāo)準(zhǔn))。它的出現(xiàn)為電子設(shè)計(jì)自動化(EDA)的普及和推廣奠定了堅(jiān)實(shí)的基礎(chǔ)。據(jù)1991年有關(guān)統(tǒng)計(jì)表明,VHDL語言業(yè)已被廣大設(shè)計(jì)者所接受。另外,眾多的

7、CAD廠商也紛紛使自己新開發(fā)的電子設(shè)計(jì)軟件與VHDL語言兼容。由此可見,使用VHDL語言來設(shè)計(jì)數(shù)字系統(tǒng)是電子設(shè)計(jì)技術(shù)的大勢所趨。2、方案設(shè)計(jì)與論證所謂頻率,就是周期性信號在單位時間(1s)內(nèi)變化的次數(shù)。若在一定時間間隔T內(nèi)測得這個周期性信號的重復(fù)變化次數(shù)為N,則其頻率可表示為f=N/T。其中f為被測信號的頻率,N為計(jì)數(shù)器所累計(jì)的脈沖個數(shù),T為N個脈沖所產(chǎn)生的時間。計(jì)數(shù)器所記錄的結(jié)果就是被測信號的頻率。測量頻率的基本方法有兩種:計(jì)數(shù)法和計(jì)時法,或稱為測頻法與測周法。2.1計(jì)數(shù)法計(jì)數(shù)法又稱測頻法,是將被測信號通過一個定時閘門加到計(jì)數(shù)器進(jìn)行計(jì)數(shù)的方法,如果閘門打開的時間為T,計(jì)數(shù)器得到的計(jì)數(shù)值為N1

8、,則被測頻率為f=N1/T。改變時間T,則可改變測量頻率范圍。設(shè)在T期間,計(jì)數(shù)器的精確計(jì)數(shù)值應(yīng)為N,根據(jù)計(jì)數(shù)器的計(jì)數(shù)特性可知,N1的絕對誤差是N1=N+1,N1的相對誤差為N1=(N1-N)/N=1/N。由N1的相對誤差可知,N的數(shù)值愈大,相對誤差愈小,成反比關(guān)系。因此,在f以確定的條件下,為減少N的相對誤差,可通過增大T的方法來降低測量誤差。當(dāng)T為某確定值時(通常取1s),則有f1=N1,而f=N,故有f1的相對誤差:f1=(f1-f)/f=1/f 從上式可知f1的相對誤差f成反比關(guān)系,即信號頻率越高,誤差越?。欢盘栴l率越低,則測量誤差越大。因此測頻法適合用于對高頻信號的測量,頻率越高,測

9、量精度也越高。2.2計(jì)時法計(jì)時法又稱為測周期法,測周期法使用被測信號來控制閘門的開閉,而將標(biāo)準(zhǔn)時基脈沖通過閘門加到計(jì)數(shù)器,閘門在外信號的一個周期內(nèi)打開,這樣計(jì)數(shù)器得到的計(jì)數(shù)值就是標(biāo)準(zhǔn)時基脈沖外信號的周期值,然后求周期值的倒數(shù),就得到所測頻率值。2.3方案的確定根據(jù)本設(shè)計(jì)要求的性能與技術(shù)指標(biāo),首先需要確定能滿足這些指標(biāo)的頻率測量方法。有上述頻率測量原理與方法的討論可知,計(jì)時法適合于對低頻信號的測量,而計(jì)數(shù)法則適合于對較高頻信號的測量。但由于用計(jì)時法所獲得的信號周期數(shù)據(jù),還需要求倒數(shù)運(yùn)算才能得到信號頻率,而求倒數(shù)運(yùn)算用中小規(guī)模數(shù)字集成電路較難實(shí)現(xiàn),因此,計(jì)時法不適合本實(shí)驗(yàn)要求。測頻法的測量誤差與信

10、號頻率成反比,信號頻率越低,測量誤差就越大,信號頻率越高,其誤差就越小。但用測頻法所獲得的測量數(shù)據(jù),在閘門時間為一秒時,不需要進(jìn)行任何換算,計(jì)數(shù)器所計(jì)數(shù)據(jù)就是信號頻率。因此,本實(shí)驗(yàn)所用的頻率測量方法是測頻法。3、工作原理、硬件電路的設(shè)計(jì)或參數(shù)的計(jì)算3.1工作原理及框圖數(shù)字頻率計(jì)的主要功能是測量周期信號的頻率。頻率是單位時間(1s)內(nèi)信號發(fā)生周期變化的次數(shù)。如果我們能在給定的1s時間內(nèi)對信號波形計(jì)數(shù),數(shù)值保持及自動清零,并將計(jì)數(shù)結(jié)果在顯示器上顯示出來,就能讀取被測信號的頻率。數(shù)字頻率計(jì)首先必須獲得相對穩(wěn)定的時間,同時將被測信號轉(zhuǎn)換成幅度與波形均能被數(shù)字電路識別的脈沖信號。然后通過計(jì)數(shù)器計(jì)算這一段

11、時間間隔內(nèi)的脈沖個數(shù),將其轉(zhuǎn)換后顯示出來。 被測信號V x經(jīng)放大整形電路變成計(jì)數(shù)器所要求的脈沖信號1,其頻率與被測信號的頻率f x相同。時基電路提供標(biāo)準(zhǔn)時間基準(zhǔn)信號2,具有固定寬度T的方波時基信號2作為閘門的一個輸入端,控制閘門的開放時間,被測信號1從閘門另一端輸入,被測信號頻率為f x,閘門寬度為T,若在閘門時間內(nèi)計(jì)數(shù)器計(jì)得的脈沖個數(shù)為N,則被測信號頻率為Hz??梢?,閘門時間T決定量程,通過閘門時基選擇開關(guān)的選擇,選擇T大一些,測量精準(zhǔn)度就高些,T小一些,則測量精準(zhǔn)度就低。根據(jù)被測頻率選擇閘門時間來控制量程。在整個電路中,時基電路是關(guān)鍵,閘門信號脈沖寬度是否精確直接決定了測量結(jié)果是否精確。

12、被測量信號經(jīng)過放大與整形電路傳入十進(jìn)制計(jì)數(shù)器,變成其所要求的信號,此時數(shù)字頻率計(jì)與被測信號的頻率相同,時基電路提供標(biāo)準(zhǔn)時間基準(zhǔn)信號,此時利用所獲得的基準(zhǔn)信號來觸發(fā)控制電路,進(jìn)而得到一定寬度的閘門信號,當(dāng)1s信號傳入時,閘門開通,被測量的脈沖信號通過閘門,其計(jì)數(shù)器開始計(jì)數(shù),當(dāng)1s信號結(jié)束時閘門關(guān)閉,停止計(jì)數(shù)。根據(jù)公式得被測信號的頻率為。數(shù)字頻率計(jì)系統(tǒng)原理總框圖,如圖1所示。邏輯控制電路數(shù)碼顯示器譯碼器鎖存器計(jì)數(shù)器閘門電路放大與整形電路時基電路VX圖1 原理方框圖邏輯控制電路的一個重要的作用是在每次采樣后還要封鎖主控門和時基信號輸入,使計(jì)數(shù)器顯示的數(shù)字停留一段時間,以便觀測和讀取數(shù)據(jù)。簡而言之,控

13、制電路的任務(wù)就是打開主控門計(jì)數(shù),關(guān)上主控門顯示,然后清零,這個過程不斷重復(fù)進(jìn)行。3.2時基電路的設(shè)計(jì)與仿真由原理方框圖可知,振蕩器與分頻器部分有兩個不同的頻率的輸出。時基電路由555定時器構(gòu)成的多諧震蕩器實(shí)現(xiàn),如圖2所示。其作用是控制計(jì)數(shù)器的輸入脈沖。當(dāng)標(biāo)準(zhǔn)時間信號(1s正脈沖)到來時,閘門開通,被測信號通過閘門進(jìn)入計(jì)數(shù)器計(jì)數(shù);當(dāng)標(biāo)準(zhǔn)脈沖結(jié)束時,閘門關(guān)閉,計(jì)數(shù)器無脈沖輸入。時基電路下圖所示:圖2 時基電路圖本設(shè)計(jì)采取用555定時器組成的多諧振蕩器。接通電源后,電容被充電,當(dāng)上升到時,使為低電平,同時放電三極管T導(dǎo)通,此時電容C通過和T放電,下降。當(dāng)下降到時,翻轉(zhuǎn)為高電平。電容器C放電所需的時間

14、為:當(dāng)放電結(jié)束時,T截止,將通過、向電容C充電,由上升到所需的時間為:當(dāng)上升到時,電路又翻轉(zhuǎn)為低電平。如此周而復(fù)始,于是在電路的輸出端就得到一個周期性的矩形波。其振蕩頻率為由計(jì)算得:=0.7*(10.7K+3.57K)*10uF=0.999s所以取,基準(zhǔn)脈沖產(chǎn)生1Hz的信號,其仿真結(jié)果如圖3所示;圖3 基準(zhǔn)脈沖產(chǎn)生電路3.3 直流穩(wěn)壓電路設(shè)計(jì)與仿真 此電路的作用是將電源變壓器將電網(wǎng)中的220V/50Hz的交流電壓轉(zhuǎn)變?yōu)?V的直流電壓。整流電路是將電源變壓器副邊給出的交流電壓,轉(zhuǎn)換為單脈沖的直流電壓。此電路中用了橋式整流。單項(xiàng)橋式整流電路由于它輸出的直流電壓高、紋波電壓?。y波系數(shù)Kr=0.48

15、3),二極管所承受的最大反向電壓低,而且電源變壓器的正、負(fù)半周內(nèi)都有電流供給負(fù)載,得到了充分的利用,效率較高。因此,這種電路在半導(dǎo)體整流電路中得到了廣泛的應(yīng)用。濾波電路用來濾除整流后直流電壓中包含的諧波分量,以便得出平滑的直流電壓。此電路用電容電路來濾波,穩(wěn)壓電路則是用來穩(wěn)定輸出的直流電壓值。直流穩(wěn)壓電源的電路圖,如圖4所示。圖4直流穩(wěn)壓電源電路將電源變壓器將電網(wǎng)中的220V/50Hz的交流電壓轉(zhuǎn)變?yōu)?V的直流電壓的multisim仿真顯示如圖5所示。圖5 變壓器轉(zhuǎn)換后的電壓3.4控制電路設(shè)計(jì)控制電路是數(shù)字是數(shù)字頻率計(jì)正常工作的中樞部分。在這一部分的設(shè)計(jì)構(gòu)成過程中,認(rèn)真對各種頻率信號的組合及搭

16、配進(jìn)行分析,分別得到用來控制計(jì)數(shù)譯碼的鎖存信號和清零信號,其時序要求如下圖所示:圖6 計(jì)數(shù)、清零、鎖存時序圖在電路中用一個與非門來實(shí)現(xiàn)(如圖7中U21和U22所示)。將整形電路的輸入信號與門控信號做與運(yùn)算,以便輸出矩形脈沖作為計(jì)數(shù)脈沖。當(dāng)時基信號給U21和U22的信號為高電平1時,閘門開啟,而門控信號為低電平0時,閘門關(guān)閉。閘門電路組成圖如圖7所示:圖7 閘門電路的電路圖 下圖是閘門工作時,U1和U5的共同仿真波形狀態(tài)。如圖8所示,當(dāng)閘門有一個正脈沖,計(jì)數(shù)器開始工作,并統(tǒng)計(jì)U5的脈沖個數(shù)。當(dāng)閘門收到一個負(fù)脈沖時,計(jì)數(shù)結(jié)束。圖8 U1和U5的仿真圖3.5 計(jì)數(shù)器電路 為了提高計(jì)數(shù)速度,可采用同步

17、計(jì)數(shù)器。其特點(diǎn)是計(jì)數(shù)脈沖作為時鐘信號同時接于各位觸發(fā)器的時鐘脈沖輸入端,在每次時鐘脈沖沿到來之前,根據(jù)當(dāng)前計(jì)數(shù)器狀態(tài),利用邏輯控制電路,準(zhǔn)備好適當(dāng)?shù)臈l件。當(dāng)計(jì)數(shù)脈沖沿到來時,所有應(yīng)翻轉(zhuǎn)的觸發(fā)器同時翻轉(zhuǎn),同時也使用所有應(yīng)保持原狀的觸發(fā)器不該變狀態(tài)。 本實(shí)驗(yàn)中采用十進(jìn)制計(jì)數(shù)器74LS90N,它可以用于對脈沖進(jìn)行計(jì)數(shù)。被測信號由閘門開通送入計(jì)數(shù)器,記錄所測信號頻率值傳入譯碼顯示電路中,顯示器顯示測得頻率值;待閘門關(guān)閉,計(jì)數(shù)器停止工作;電路則繼續(xù)工作進(jìn)行下次循環(huán),計(jì)數(shù)器清零,顯示器數(shù)值消失,頻率計(jì)完成一次測量。計(jì)數(shù)器的組成電路如圖9所示。圖9 計(jì)數(shù)器的電路圖 通過不同的連接方式,74LS90N可以實(shí)現(xiàn)

18、四種不同的邏輯功能;而且還可借助、對計(jì)數(shù)器清零,借助、將計(jì)數(shù)器置9。其具體功能詳述如下:(1)計(jì)數(shù)脈沖從INA輸入,QA作為輸出端,為二進(jìn)制計(jì)數(shù)器。(2)計(jì)數(shù)脈沖從INB輸入,QDQCQB作為輸出端,為異步五進(jìn)制加法計(jì)數(shù)器。(3)若將INB和QA相連,計(jì)數(shù)脈沖由INA輸入,QD、QC、QB、QA作為輸出端,則構(gòu)成異步8421碼十進(jìn)制加法計(jì)數(shù)器。(4)若將INA與QD相連,計(jì)數(shù)脈沖由INB輸入,QA、QD、QC、QB作為輸出端,則構(gòu)成異步5421碼十進(jìn)制加法計(jì)數(shù)器。(5)清零、置9功能。 74LS90的功能真值表如表1所示。表1 74LS90的功能真值表輸 入輸 出功 能清 0置 9時 鐘QD

19、QC QB QAR0(1)、R0(2)、INA、INB110××0× ×0000清 00××011× ×1001置 90 ×× 00 ×× 0 1QA 輸 出二進(jìn)制計(jì)數(shù)1 QDQCQB輸出五進(jìn)制計(jì)數(shù) QAQDQCQBQA輸出8421BCD碼十進(jìn)制計(jì)數(shù)QD QAQDQCQB輸出5421BCD碼十進(jìn)制計(jì)數(shù)1 1不 變保 持74LS90的引腳圖如圖10所示:圖10 74LS90引腳圖3.6鎖存器電路鎖存器是構(gòu)成各種時序電路的存儲單元電路,其具有0和1兩種穩(wěn)定狀態(tài),一旦狀態(tài)被確定,就

20、能自行保持,鎖存器是一種脈沖電平敏感的存儲單元電路,它們可以在特定輸入脈沖電平作用下改變狀態(tài)。本次實(shí)驗(yàn)電路采用74LS273鎖存器。其作用是將計(jì)數(shù)器在1s結(jié)束時所記得的數(shù)進(jìn)行鎖存,使顯示器上能穩(wěn)定地顯示此時計(jì)數(shù)器的值。當(dāng)1s計(jì)數(shù)結(jié)束時,通過邏輯電路產(chǎn)生信號送入鎖存器,將此時計(jì)數(shù)的值送入譯碼顯示器。選用兩個8位鎖存器74LS273可以完成上計(jì)數(shù)功能。當(dāng)時鐘脈沖CP的正跳變來到時,鎖存器的輸入等于輸入,即Q=D,從而將計(jì)數(shù)器的輸出值送到鎖存器的輸出端正脈沖結(jié)束后,無論D為何值,輸出端Q的狀態(tài)仍保持原來的狀態(tài)的Q不變。所以在計(jì)數(shù)期間內(nèi),計(jì)數(shù)器的輸出不會送到譯碼顯示器。74LS273引腳圖如圖11所示

21、。圖11 74LS273引腳圖74LS273的功能表如表2所示。表2 74LS273邏輯功能表 圖12 鎖存器的電路3.7譯碼顯示電路譯碼顯示電路可由7段發(fā)光數(shù)碼顯示器U15U18和輸出高電平有效的譯碼器74LS48組成。74LS48的內(nèi)部有升壓電阻,因此可以直接與顯示器相連,其作用是把BCD碼表示的十進(jìn)制數(shù)轉(zhuǎn)換成能驅(qū)動數(shù)碼管正常顯示的段信號,以獲得數(shù)字顯示。74LS48的引腳圖及譯碼顯示電路圖如圖13所示,表3是74LS48的真值表。表3 74LS48邏輯功能表十進(jìn)數(shù)或功能輸入BI/RBO輸出顯示LTRBID C B A abcdefg0HH0 0 0 0H11111101Hx0 0 0 1

22、H01100002Hx0 0 1 0H11011013Hx0 0 1 1H11110014Hx0 1 0 0H01100115Hx0 1 0 1H10110116Hx0 1 1 0H00111117Hx0 1 1 1H11100008Hx1 0 0 0H11111119Hx1 0 0 1H111001110Hx1 0 1 0H000110111Hx1 0 1 1H001100112Hx1 1 0 0H010001113Hx1 1 0 1H100101114Hx1 1 1 0H000111115Hx1 1 1 1H0000000BIxxx x x xL0000000RBIHL0 0 0 0L00

23、00000LTLxx x x xH1111111 圖 13 74LS48引腳圖 圖14 譯碼顯示電路3.8系統(tǒng)的工作原理分析如圖15所示,是一個輸入脈沖信號為30Hz時的仿真結(jié)果。時基信號由555定時器構(gòu)成一個較穩(wěn)定的多諧振蕩器,產(chǎn)生一個標(biāo)準(zhǔn)的時基信號,作為閘門開通的基準(zhǔn)時間。當(dāng)標(biāo)準(zhǔn)時間(1s正脈沖)到來時,閘門U21開通。被測信號30Hz通過閘門U22進(jìn)入計(jì)數(shù)器進(jìn)行計(jì)數(shù)。此時U1輸出端OUT能輸出0或1的脈沖。脈沖經(jīng)過與非門U21后變成1,與四連級計(jì)數(shù)器的R0(1)、R0(2)兩端相連。根據(jù)計(jì)數(shù)器74LS90N的功能表可知,R0(1)、R0(2)為清零端,兩者同時為高電平時實(shí)現(xiàn)清零功能。U5

24、的OUT端此時能產(chǎn)生0或者1兩種脈沖的形式。又因?yàn)閁22與U21兩個閘門相連,所以U22輸出端只能有兩種形式,分別是高電平1和低電平0。U22的輸出端和計(jì)數(shù)器U14的INA端相連接。由計(jì)數(shù)器74LS90N的功能表可知,當(dāng)INA為1的時候計(jì)數(shù)器開始工作。所以,當(dāng)U1和U5同時產(chǎn)生0或者1的時候,此時個位計(jì)數(shù)器U14的INA端為高電平1,計(jì)數(shù)器開始工作。此次設(shè)計(jì)的是10進(jìn)制計(jì)數(shù)器,由74LS90N的功能表可看出,INB需與QA相連,和為置9端,此設(shè)計(jì)不需要,所以均置0接地。測量的范圍為1-9999Hz所以需要四聯(lián)級計(jì)數(shù)器。U14、U13、U12、U11分別是個位、十位、百位、千位計(jì)數(shù)器。當(dāng)U22輸

25、出給了一個高電平,個位計(jì)數(shù)器U14開始工作。U14從0000一直計(jì)數(shù)到1000,此時輸出端最高位QD為1,又因?yàn)镼D與下一級計(jì)數(shù)器U13的INA端相連,所以U14的QD是1的時候U13開始工作。當(dāng)U14為1001時,U13的值為0000。當(dāng)計(jì)數(shù)器U14為0000時,U13為0001,此時產(chǎn)生進(jìn)位。 計(jì)數(shù)器74LS90N即開始記錄時鐘的個數(shù),因?yàn)檩斎氲拿}沖為30Hz,所以千位計(jì)數(shù)器U11和百位計(jì)數(shù)器U12的QA、QB、QC、QD輸出數(shù)字為0000,十位計(jì)數(shù)器U13的數(shù)是0011,個位計(jì)數(shù)器U14的數(shù)為0000。所統(tǒng)計(jì)后額數(shù)據(jù)經(jīng)74LS273鎖存器鎖存。鎖存器作用是將計(jì)數(shù)器在1s結(jié)束的計(jì)數(shù)進(jìn)行鎖存

26、,使顯示器上獲得穩(wěn)定的測量值。因?yàn)橛?jì)數(shù)器在1s內(nèi)要計(jì)成千上萬的輸入脈沖,若不加鎖存器,顯示器上的數(shù)字隨計(jì)數(shù)器的輸出而變化,不便于計(jì)數(shù)。所以必須加鎖存器將其固定。選用8D鎖存器74LS273可以完成上述鎖存功能。當(dāng)時鐘脈沖的正跳變到達(dá)時,鎖存器的輸出等于輸入,則U7、U8和U10的輸出為0000,而U9的輸出為0011。從而將4個十進(jìn)制計(jì)數(shù)器的輸出值送到鎖存器的輸出端。正脈沖結(jié)束后,無論輸入端為何值,輸出端的狀態(tài)保持原來的狀態(tài)不變。鎖存器的輸出端把計(jì)數(shù)器的值傳給譯碼器74LS48N。顯示譯碼器74LS48N的作用是把用 BCD 碼表示的10進(jìn)制數(shù)轉(zhuǎn)換成能驅(qū)動數(shù)碼管正常顯示的段信號,以獲得數(shù)字顯示

27、由74LS48N的真值表可以看出。譯碼器U9里面的0011被譯碼后轉(zhuǎn)換的值為3,U7、U8和U10里面的0000則被轉(zhuǎn)換為0。所以最后LED顯示的數(shù)字為30,滿足設(shè)計(jì)的要求。圖15 30Hz的仿真電路4、總體電路的仿真分析被測信號為8Hz的仿真結(jié)果如圖16所示。圖16 8Hz時的仿真結(jié)果 被測信號為176Hz的仿真結(jié)果如圖17所示。圖17 176Hz時的仿真結(jié)果被測信號為1150Hz的仿真結(jié)果如圖18所示圖18 1150Hz時的仿真結(jié)果由仿真可以得表4表4 仿真結(jié)果與測量值誤差表測量值仿真結(jié)果誤差09Hz981 (11.11%)1099Hz30300 (0.00%)100999Hz1761742 (1.11%)10009999Hz1150113713(1.11%) 由表4可以看出,此次設(shè)計(jì)能夠測出各種不同的頻率,仿真值比測量值略小,誤差約為1.11%,符合課程設(shè)計(jì)的要求。以及在計(jì)算機(jī)上進(jìn)行的仿真得出實(shí)驗(yàn)可行,并且能夠達(dá)到設(shè)計(jì)要求。簡易數(shù)字頻率計(jì)的設(shè)計(jì)應(yīng)該注意其測量的的簡易性。軟件方面也在不影響頻率計(jì)功能的條件下盡量簡化,題目要求的是設(shè)計(jì)一個簡易的數(shù)字頻率計(jì),用數(shù)字電子計(jì)數(shù)的簡單器件在盡可能提高測量的精確度的同時,系統(tǒng)的性價(jià)比大大提高。5、實(shí)驗(yàn)心得體會課程設(shè)計(jì)帶給我很多感悟,不僅可以將書本上的知識用于實(shí)際的應(yīng)用中去,而且可以加深對理論

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論