電子線路硬件課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告-數(shù)字電壓表設(shè)計(jì)_第1頁(yè)
電子線路硬件課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告-數(shù)字電壓表設(shè)計(jì)_第2頁(yè)
電子線路硬件課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告-數(shù)字電壓表設(shè)計(jì)_第3頁(yè)
電子線路硬件課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告-數(shù)字電壓表設(shè)計(jì)_第4頁(yè)
電子線路硬件課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告-數(shù)字電壓表設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩14頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、電子線路硬件課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告課題:數(shù)字電壓表設(shè)計(jì)班級(jí):作者:學(xué)號(hào):指導(dǎo)老師:課設(shè)評(píng)價(jià):課設(shè)成績(jī):摘要隨著數(shù)字電路的發(fā)展和普及,其精度和可靠性已漸漸超過(guò)模擬電路,加上其顯示直觀方 便,誤差小,數(shù)字電路儀器已漸漸進(jìn)入各種測(cè)試儀器中供給人們使用。在本設(shè)計(jì)中電壓表可以測(cè)量直流電壓(0200v),分為四檔:200m v. 2v、20v及2 00v, 并通過(guò)3位7段數(shù)碼管顯示測(cè)量值。根據(jù)可選擇的方案,本設(shè)計(jì)主要采用51單片機(jī)和 ad0809ad轉(zhuǎn)換芯片進(jìn)行數(shù)據(jù)的采樣和處理,并通過(guò)數(shù)碼管顯示。本設(shè)計(jì)具有實(shí)現(xiàn)方便,系統(tǒng)結(jié)構(gòu)簡(jiǎn)單,顯示直觀,可靠性較好等特點(diǎn)。關(guān)鍵字:數(shù)字電壓表 51單片機(jī)ad0809ab str

2、actwith the digit al circuit j s popular ization and the deve lopme nt, its preci si on and the stabi 1 ity has been higher than the an al ogous circ ui t by far , t tsdire ct-viewing voltage d emonstrate s both are advantage ous for th e use, and can reduc e the pers onal error .during th is dcsign

3、, the voltm eter may s urvey the dc voltage measuring range (0200v) to b e divided four grade s: 200mv, 2v, 20v, 2 00v, andn ixietubed emonstrate s its valu e through threebit led accor ding to th e digital voltme tef s func tio n request, selecting at89c51 mo nolithic in tegrated circuit to make th

4、e control sy stem, real izes a/d t ransformat ion functi on by adco 809.this d esig nis r easonable, easy, con vcnien t, s tab lea nd has some o ther adva n tageskey words: dig ital voltm eterat8 9c51 ad08 091. 項(xiàng)目概述本項(xiàng)目要求設(shè)計(jì)并實(shí)現(xiàn)一個(gè)數(shù)字電壓表的裝置,該裝置能夠?qū)?200v范圍的直流 電壓進(jìn)行測(cè)量。測(cè)量分為4擋:20 0mv 2v、20v和200v。輸入為模擬直流電壓, 輸出為

5、數(shù)字量,并在必要的輔助輸出顯示設(shè)備上顯示。同時(shí)具有正、負(fù)電壓極性顯 示,小數(shù)點(diǎn)顯示。能判讀并顯示被測(cè)量信號(hào)超岀所選擇的量程范圍。并根據(jù)不同的 量程能自動(dòng)調(diào)整小數(shù)點(diǎn)。2. 項(xiàng)目設(shè)計(jì)目標(biāo)及方案論證1.設(shè)計(jì)目標(biāo)木設(shè)計(jì)項(xiàng)目有基木要求和擴(kuò)展部分要求兩部分:1. 基本要求 數(shù)字電壓表有4個(gè)測(cè)量擋:200mv. 2 v、20v和200v ,能將被測(cè)的 模擬直流電壓在顯示設(shè)備上顯示出來(lái)。 數(shù)字電壓表以基本暈程為基礎(chǔ),同時(shí)設(shè)計(jì)衰減器進(jìn)行暈程的擴(kuò)展。 具有 位(三位半)顯示:有3位完整的顯示,另外最高位只顯示0 或lo 能夠判讀并顯示被測(cè)電壓的極性。2. 擴(kuò)展部分1. 設(shè)計(jì)并調(diào)試自動(dòng)量程轉(zhuǎn)換電路2. 設(shè)計(jì)并調(diào)試小

6、數(shù)點(diǎn)白動(dòng)切換功能3. 方案論證方案一:用a/d轉(zhuǎn)換、led顯示芯、各種需要的中規(guī)模門(mén)電路芯片、電阻、 電容等純硬件實(shí)現(xiàn)數(shù)字電壓表:通過(guò)電阻衰減網(wǎng)絡(luò)得到衰減后的電壓,送 入c c7107,將其輸出的數(shù)字量接入led顯示。該方法是用純硬件實(shí)現(xiàn)數(shù) 字電壓表,硬件連接較復(fù)雜,電路體積大,測(cè)量方式不靈活,測(cè)量的誤差 比較大、精確度難做高。方案二:釆用單片機(jī)、a/d芯片和顯示芯片設(shè)計(jì)數(shù)字電壓表:?jiǎn)纹瑱C(jī)型號(hào) 廣泛、并且價(jià)格低廉。只要單片機(jī)內(nèi)部具有中斷、i/o、rs232等模塊就能 夠滿足選型基木要求,系統(tǒng)的精度能夠保證。該方法硬件連接相對(duì)簡(jiǎn)單, 測(cè)量誤差較小,精度較高。方案三:基于fpga及a/d芯片的數(shù)字

7、電壓表:a/d轉(zhuǎn)換器將模擬量轉(zhuǎn)換為 數(shù)字量,fpga將轉(zhuǎn)換的數(shù)字量變成需要的數(shù)字量,送led進(jìn)行顯示。系統(tǒng) 集成度高,精度可以保證,但是系統(tǒng)成本較高。方案選擇:在可選的三種方案里,本人結(jié)合自身實(shí)際動(dòng)手能力,沒(méi)有選擇 z前模擬電路實(shí)驗(yàn)和數(shù)字電路實(shí)驗(yàn)課上做過(guò)的直接通過(guò)純硬件電路實(shí)現(xiàn)的 數(shù)字電壓表,也沒(méi)有選擇比較熟悉的fpga和ad芯片實(shí)現(xiàn)的數(shù)字電壓表, 而選擇了挑戰(zhàn)自己沒(méi)有學(xué)過(guò)和做過(guò)的51單片機(jī)來(lái)作為數(shù)字電壓表的控制 部分,通過(guò)ad采集,數(shù)碼管顯示的數(shù)字電壓表,本人覺(jué)得是一次挑戰(zhàn), 也是一次難得的學(xué)習(xí)過(guò)程。4. 系統(tǒng)總體設(shè)計(jì)(一)系統(tǒng)設(shè)計(jì)本次設(shè)計(jì)大致可分為四個(gè)部分:檔位電路;a d采樣電路;單片機(jī)

8、處理部分; led顯示部分。各部分功能為:1. 檔位電路:對(duì)測(cè)量檔位進(jìn)行選擇(200m v、2v、20v、2 00v),并對(duì)較大的輸入電壓 進(jìn)行衰減(如20v檔位電壓及20 0v檔位電壓)及對(duì)較小檔位進(jìn)行放大(20 omv檔 位),以提高測(cè)量可靠性,安全性等。2. ad采樣電路:對(duì)輸入的模擬信號(hào)進(jìn)行采樣,得到可以送給單片機(jī)處理的數(shù)字信號(hào)。3. 單片機(jī)處理部分:對(duì)獲取的采樣信號(hào)進(jìn)行處理,從而可以得到實(shí)際的測(cè)量電壓值, 并調(diào)用顯示模塊,將測(cè)量值送給顯示模塊顯示輸出。4. led顯示部分:將測(cè)量電壓通過(guò)7段數(shù)碼管顯示。如下是系統(tǒng)的整體框圖:以上各功能電路即可構(gòu)成一個(gè)完整的數(shù)字電壓表電路系統(tǒng),通過(guò)51

9、單片機(jī)對(duì)各個(gè) 模塊的工作時(shí)序進(jìn)行控制,可完成電壓測(cè)量及顯示的功能。(二)主要芯片介紹1. adco 809 芯片adc08 09是帶有8位a/d轉(zhuǎn)換器、8路多路開(kāi)關(guān)以及微處理機(jī)兼容的控制 邏輯的cmos組件。它是逐次逼近式a/d轉(zhuǎn)換器,可以和單片機(jī)直接接口。adco 809是8位逐次逼近型a/d轉(zhuǎn)換器。內(nèi)部結(jié)構(gòu)由模擬多路轉(zhuǎn)換開(kāi)關(guān)和 a/d轉(zhuǎn)換兩人部分構(gòu)成。模擬多路轉(zhuǎn)換開(kāi)關(guān)由8路模擬開(kāi)關(guān)和3位地址鎖 存與譯碼器組成,地址鎖存允許信號(hào)ale將三位地址信號(hào)addc、addb和 adda進(jìn)行鎖存,然后由譯碼電路選通其中一路摸信號(hào)加到a/d轉(zhuǎn)換部分進(jìn) 行轉(zhuǎn)換。八/d轉(zhuǎn)換部分包插比較器、逐次逼近寄存器sa

10、r、256r電阻網(wǎng)絡(luò)、 樹(shù)狀電子開(kāi)關(guān)、控制與時(shí)序電路等,另外具有三態(tài)輸出鎖存緩沖器,其輸 出數(shù)據(jù)線可直接被cpu讀取。a dc0809內(nèi)部原理圖a/d轉(zhuǎn)換器與cp u z間傳送數(shù)據(jù)的方法1. 等待延時(shí)法等待延吋法是利用cpu執(zhí)行一條輸出指令,啟動(dòng)adc轉(zhuǎn)換,然后cpu 執(zhí)行延時(shí)程序,延時(shí)時(shí)間大于所選用的adc芯片轉(zhuǎn)換時(shí)間,延時(shí)結(jié) 束,cpu執(zhí)行輸入指令,打開(kāi)三態(tài)門(mén)獲取adc轉(zhuǎn)換好的數(shù)據(jù)。2. 查詢法查詢法是由cpu來(lái)檢查eoc信號(hào)。當(dāng)cp u啟動(dòng)adc芯片開(kāi)始轉(zhuǎn)換之后, 再通過(guò)狀態(tài)端口讀取eoc信號(hào),檢查adc是否轉(zhuǎn)換結(jié)束。若轉(zhuǎn)換結(jié)束, 則讀収轉(zhuǎn)換結(jié)果,否則繼續(xù)查詢。3. 中斷法用屮斷法可提高

11、cpu的利用率,當(dāng)adc轉(zhuǎn)換結(jié)束,由eoc信號(hào)上升沿 通過(guò)8259a中斷控制邏輯向cpu發(fā)出中斷請(qǐng)求,cpu響應(yīng)中斷在服務(wù)程 序中讀取結(jié)果。本設(shè)計(jì)中采用的數(shù)據(jù)傳送方法為查詢法,這種方法雖然沒(méi)有中斷法那樣 節(jié)省cpu資源,但是由于本設(shè)計(jì)中的單片機(jī)不需要處理其他事務(wù),只需要 執(zhí)行數(shù)字電壓表的程序,所以采用查詢法較為簡(jiǎn)單,容易實(shí)現(xiàn)。adc08 09工作時(shí)序adc0 809工作時(shí)序圖如下adc0809工作時(shí)序圖當(dāng)通道選擇地址有效時(shí),ale信號(hào)一出現(xiàn),地址便馬上被鎖存,這時(shí)轉(zhuǎn) 換啟動(dòng)信號(hào)緊隨alez后(或與ale同時(shí))出現(xiàn)。star t的上升 沿將逐次 逼近寄存器sar復(fù)位,在該上升沿之后的2ps加8個(gè)

12、時(shí)鐘周期內(nèi)(不定),eoc信號(hào)將變低電平,以指示轉(zhuǎn)換操作正在進(jìn)行中,直到轉(zhuǎn)換完成 后r0c再變高電平。微處理器收到變?yōu)楦唠娖降膃 0c信號(hào)后,便立即送 出0e信號(hào),打開(kāi)三態(tài)門(mén),讀取轉(zhuǎn)換結(jié)果。adc0809引腳圖及引腳功能d7d0: 8位數(shù)據(jù)輸出線;iwiw): 8路模擬信號(hào)輸入;ad dc、addb、ad da: 8路模擬信號(hào)輸入通道的地址選擇線;ale:地址鎖存允許,高電平有效。其正跳變鎖存地址選擇線狀態(tài),經(jīng)譯 碼選通對(duì)應(yīng)的模擬輸入信號(hào)地址鎖存允許信號(hào),當(dāng)此信號(hào)有效吋,a、b、 c三位地址信號(hào)被鎖存,譯碼選通對(duì)應(yīng)模擬通道。在使用時(shí),該信號(hào)常和 start信號(hào)連在一起,以便同時(shí)鎖存通道地址和啟

13、動(dòng)a/d轉(zhuǎn)換。start : a/d轉(zhuǎn)換啟動(dòng)信號(hào),正脈沖有效。加于該端的脈沖的上升沿,使 逐次逼近寄存器清零,下降沿開(kāi)始a/d轉(zhuǎn)換。如正在進(jìn)行轉(zhuǎn)換時(shí)乂接到新 的啟動(dòng)脈沖,則原來(lái)的轉(zhuǎn)換進(jìn)程被中止,重新從頭開(kāi)始轉(zhuǎn)換。eoc:轉(zhuǎn)換結(jié)束信號(hào),高電平有效。該信號(hào)在a/d轉(zhuǎn)換過(guò)程中為低電平, 其余時(shí)間為高電平。該信號(hào)可作為被cpu查詢的狀態(tài)信號(hào),也可作為對(duì) cpu的屮斷請(qǐng)求信號(hào)。在需要對(duì)某個(gè)模擬量不斷采樣、轉(zhuǎn)換的情況下, eoc也可作為啟動(dòng)信號(hào)反饋接到start端,但在剛加電時(shí)需由外電路第一 次啟動(dòng)。0e:輸出允許信號(hào),高電平有效。當(dāng)微處理器送出該信號(hào)時(shí),adc08 09的 輸出三態(tài)門(mén)被打開(kāi),使轉(zhuǎn)換結(jié)果通

14、過(guò)數(shù)據(jù)總線被讀走。在中斷工作方式下, 該信號(hào)往往是cpu發(fā)出的屮斷請(qǐng)求響應(yīng)信號(hào)。clock:時(shí)鐘,最高可達(dá)1280khz ,由外部提供;ref ( + )、ref (-):參考電壓正極、負(fù)極,通常ref ( + )接vcc, ref(-)接 gnd;vcc:電源,+5v, gnd:地線。模擬輸入與數(shù)字量輸出的關(guān)系為n= (v1n-vref (-) x256/ (vr ef ( + ) -vref (-),當(dāng) vref ( + ) =+5v, vr ef (-) =0v,若輸入模擬電壓為2. 5v,則轉(zhuǎn)換后的數(shù)字量n=128,即100 00000badc 0809引腳圖adc 0809主要性能8

15、位逐次逼近型a/d轉(zhuǎn)換器,所有引腳的邏輯電平與ttl兼容2. 帶有鎖存功能的8路模擬量轉(zhuǎn)換開(kāi)關(guān),可對(duì)8路05v模擬量進(jìn)行分時(shí)轉(zhuǎn)換3. 輸出具有三態(tài)鎖存/緩存功能4. 分辨率為8位,轉(zhuǎn)換吋i'可為loous5. 不可調(diào)誤差:±1lsb,功耗:15mv6. 工作電壓:+5v,參考電壓標(biāo)準(zhǔn)值+5v7. 片內(nèi)無(wú)時(shí)鐘,一般需外加640khz以下且不低于lookhz的時(shí)鐘信號(hào)a dc0809地址信號(hào)與選中通道關(guān)系2. at 89c51單片機(jī)at89c 51 是一種帶 4k 字節(jié)flash 存儲(chǔ)器(f peromflas h programm able and e rasable re a

16、d only me mory)的低電壓、高性能cmos 8位微處理器,俗稱單片機(jī)。at89c2051是 一種帶2k字節(jié)閃存可編程可擦除只讀存儲(chǔ)器的單片機(jī)。單片機(jī)的可擦除只讀存儲(chǔ)器可以反 復(fù)擦除1000次。該器件采用atmel高密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的 mcs-51指令集和輸出管腳相兼容。由于將多功能8位cpu和閃速存儲(chǔ)器組合在單個(gè)芯片屮, atme l的at89c51是一種高效微控制器。at89c51單片機(jī)為很多嵌入式控制系統(tǒng)提供了一 種靈活性高且價(jià)廉的方案。at89c51主要特性at89c5 1提供以下標(biāo)準(zhǔn)功能:4k字節(jié)flas h閃速存儲(chǔ)器,12 8字節(jié) 內(nèi)部ram, 3

17、2個(gè)1/0 口線,兩個(gè)16位定時(shí)/計(jì)數(shù)器,一個(gè)5向量?jī)杉?jí)屮 斷結(jié)構(gòu),一個(gè)全雙工串行通信口,片內(nèi)振蕩器及時(shí)鐘電路。同時(shí),a t89c51可降至0 hz的靜態(tài)邏輯操作,并支持兩種軟件可選的節(jié)電工作模 式。空閑方式停止cpu的工作,但允許ram,定時(shí)/計(jì)數(shù)器,串行通信口 及中斷系統(tǒng)繼續(xù)工作。掉電方式保存ram中的內(nèi)容,但振蕩器停止工作并 禁止其它所有部件工作直到下一個(gè)硬件復(fù)位。引腳說(shuō)明1. 主電源引腳vss和vccvss (2 0 腳):地線;vcc (40 腳):5v 電源。2. 外接晶振引腳xta l1 (18腳)和xt al2 (19腳):外接晶體引線端。當(dāng)使用芯片內(nèi) 部時(shí)鐘時(shí),此端用于外接石

18、英晶體和微調(diào)電容;當(dāng)使用外部時(shí)鐘時(shí),對(duì)于hmos單 片機(jī),此引腳接地;對(duì)于cmos單片機(jī),此引腳作為外部振蕩信號(hào)的輸入端。3. rst/v pd (9腳):復(fù)位信號(hào)。當(dāng)輸入的復(fù)位信號(hào)延續(xù)兩個(gè)機(jī)器周期以上高電平即為 有效,用以完成單片機(jī)的復(fù)位初始化操作。在vcc發(fā)生故障、降低到低電平規(guī)定值 掉電期間,此引腳可接上備用電源vpd (電壓范圍土5v±0 .5v),由vpd向內(nèi)部 ram供電,以保持內(nèi)部ram +的數(shù)據(jù)。4. ale/prog (30腳):地址鎖存控制信號(hào)。在系統(tǒng)擴(kuò)展時(shí),ale用于控制把p0 口輸 出的低8位地址鎖存器鎖存起來(lái),以實(shí)現(xiàn)低位地址和數(shù)據(jù)的隔離。此外由于ale是 以

19、晶振六分之一的固定頻率輸出的正脈沖,因此可作為外部時(shí)鐘或外部定時(shí)脈沖使 用。對(duì)于eprom型單片機(jī)或flash單片機(jī),在eprom或fla sh編程期間,此引腳 接收編程脈沖(/prog功能)。5. /pse n (29腳):外部程序存儲(chǔ)器讀選通信號(hào)。在讀外部rom時(shí)有效(低電平),以 實(shí)現(xiàn)外部rom單元的讀操作。6. /ea/vpp (3 1腳):訪問(wèn)程序存儲(chǔ)控制信號(hào)。當(dāng)/ea信號(hào)為低電平時(shí),對(duì)r0 的讀 操作限定在外部程序存儲(chǔ)器;而當(dāng)/e a信號(hào)為高電平時(shí),則對(duì)rom的讀操作是從內(nèi) 部程序存儲(chǔ)器開(kāi)始,并可延至外部程序存儲(chǔ)器。對(duì)于eprom(或flash)單片機(jī),在 eprom編程期間,此引

20、腳上加12. 75v或21v的編程電源(vpp)07. 輸入/輸出引腳 p0 口(po.ctpo. 7)、pl 口(p1.0p1.7)、p2 口(p 2. 0p2. 7)、p3口(p3op 3. 7): 8位雙向并行i/o接口。擴(kuò)展片外存儲(chǔ)器或i/o 口時(shí),作為低8 位地址總線和8位數(shù)據(jù)總線的分時(shí)復(fù)用接口,為雙向三態(tài),能以吸收電流的方式驅(qū) 動(dòng)8個(gè)lsttl負(fù)載。3. 74ls74741 s7 4是一個(gè)邊沿觸發(fā)器數(shù)字電路器件,每個(gè)器件中包含兩個(gè)相同的相互獨(dú)立的邊沿觸發(fā) d觸發(fā)器電路。對(duì)于邊沿d觸發(fā)器,負(fù)跳沿觸發(fā)的主從觸發(fā)器工作時(shí),必須在正跳沿前加入輸入信號(hào)。如 果在cp高電平期間輸入端出現(xiàn)干擾信

21、號(hào),那么就有可能使觸發(fā)器的狀態(tài)出錯(cuò)。而邊沿觸 發(fā)器允許在cp觸發(fā)沿來(lái)到前一瞬間加入輸入信號(hào)。這樣,輸入端受干擾的吋間大大縮短, 受干擾的可能性就降低了。邊沿d觸發(fā)器也稱為維持-阻塞邊沿d觸發(fā)器。電路結(jié)構(gòu):該觸 發(fā)器由6個(gè)與非門(mén)組成,其中g(shù)1和g2構(gòu)成基本rs觸發(fā)器。d觸發(fā)器工作原理:sd和rd接至基本rs觸發(fā)器的輸入端,它們分別是預(yù)置和清零端,低 電平有效。當(dāng)sd二0且rd二1時(shí),不論輸入端d為何種狀態(tài),都會(huì)使q=l, q二0,即觸發(fā)器置1; 當(dāng)sd二1且rd二0時(shí),觸發(fā)器的狀態(tài)為0, sd和rd通常又稱為直接置1和置0端。我們?cè)O(shè)它們 均已加入了高電平,不影響電路的工作。工作過(guò)程如下:1 .c

22、p二0時(shí),與非門(mén)g3和g4封鎖,其輸出q3二04二1,觸發(fā)器的狀態(tài)不變。同時(shí),由于q3至q5和q4至q6的反饋信號(hào) 將這兩個(gè)門(mén)打開(kāi),因此可接收輸入信號(hào)d, q5二d, q6二q5二d。2.當(dāng)cp由0變1時(shí)觸發(fā)器翻轉(zhuǎn)。這時(shí)g3和g4打開(kāi),它們的輸入q3和q4的狀態(tài)由g5和g6的輸出狀態(tài)決定。q3二q 5=d, q4=q6=do由基本rs觸發(fā)器的邏輯功能可知,q二d。3.觸發(fā)器翻轉(zhuǎn)后,在cp二1吋輸入信號(hào)被封鎖。這是因?yàn)間3和g4打開(kāi)后,它們的輸出q3和q4的狀態(tài)是互補(bǔ)的,即必定有 一個(gè)是0,若q3為0,則經(jīng)g3輸出至g5輸入的反饋線將g5封鎖,即封鎖了 d通往基本 rs觸發(fā)器的路徑;該反饋線起到

23、了使觸發(fā)器維持在0狀態(tài)和阻止觸發(fā)器變?yōu)?狀態(tài)的作用, 故該反饋線稱為置0維持線,置1阻塞線。q4為0時(shí),將g3和g6封鎖,d端通往基本rs 觸發(fā)器的路徑也被封鎖。q4輸出端至g6反饋線起到使觸發(fā)器維持在1狀態(tài)的作用,稱作 置1維持線;q4輸出至g3輸入的反饋線起到阻止觸發(fā)器置0的作用,稱為置0阻塞線。因 此,該觸發(fā)器常稱為維持-阻塞觸發(fā)器??傊?,該觸發(fā)器是在cp正跳沿前接受輸入信號(hào), 止跳沿時(shí)觸發(fā)翻轉(zhuǎn),正跳沿后輸入即被封鎖,三步都是在止跳沿后完成,所以有邊沿觸發(fā)器 z稱。與主從觸發(fā)器相比,同工藝的邊沿觸發(fā)器有更強(qiáng)的抗干擾能力和更高的工作速度。 邊沿d觸發(fā)器特征方程:qn+1 = d 邊沿d觸發(fā)

24、器狀態(tài)轉(zhuǎn)換真值表如下74 ls74引腳圖如下4. ne5532ne5532是高性能低噪聲雙運(yùn)算放大器(雙運(yùn)放)集成電路。與很多標(biāo) 準(zhǔn)運(yùn)放相似,但它具有更好的噪聲性能,優(yōu)良的輸出驅(qū)動(dòng)能力及相當(dāng) 高的小信號(hào)帶寬,電源電壓范圍大等特點(diǎn)。因此很適合應(yīng)用在高品質(zhì) 和專業(yè)音響設(shè)備、儀器、控制電路及電話通道放大器。工作性能:1. 小信號(hào)帶寬:10 mhz2. 輸11!驅(qū)動(dòng)能力:600 q , 10v有效值3. 輸入噪聲電壓:5n v/vhz(典型值)4. 直流電壓增益:500 005. 交流電壓增益:2 200'lokhz6. 功率帶寬:140khz7. 轉(zhuǎn)換速率:9v/us&電源電壓范圍:

25、±3廠±20vne553 2引腳圖8腳引腳圖16腳引腳圖9.系統(tǒng)硬件設(shè)計(jì)及實(shí)現(xiàn)1.檔位電路由于本次設(shè)計(jì)采用的ad轉(zhuǎn)換器為adc0809 ,而adc0809的輸入轉(zhuǎn)換電壓范 圍為:05v,所以對(duì)于髙于5v電壓應(yīng)衰減至05v范圍內(nèi)(如20 v、200v 檔位的電壓),而對(duì)于太低的電壓(200mv檔位電壓)則應(yīng)經(jīng)過(guò)放大電路放 大至05v范圍,這樣才能提高測(cè)量精度。所以本人設(shè)計(jì)的檔位電路方案為:020 omv檔位的電壓,經(jīng)過(guò)一個(gè)10倍放 大電路放大至02v,送給adc0809處理;200m廠2v檔位的電壓,直接送給 ad c0809處理;2遼0v檔位的電壓,經(jīng)過(guò)一個(gè)10倍的衰減電路

26、衰減至02v, 送給adc0809處理;同理對(duì)于20v2 00v檔位的電壓,經(jīng)過(guò)100倍的衰減 再送給adc0809處理。0200mv檔位電路200m v2v檔位電壓是直接送給adc0809 ,故省略不給岀。2v 20v檔位電路20 v"200v檔位電路2. ad轉(zhuǎn)換電路ad轉(zhuǎn)換電路就是將檔位選擇電路中輸入的模擬電壓通過(guò)ad芯片內(nèi)的ad轉(zhuǎn) 換器,轉(zhuǎn)換成對(duì)應(yīng)的數(shù)字信號(hào),再將數(shù)字信號(hào)鎖存,等待單片機(jī)處理器的 讀取。本次設(shè)計(jì)采用的ad芯片為adc 0809,各個(gè)檔位的輸出信號(hào)輸給ad08 09的 tn0腳,故a ddc、addb、a ddc的地址信號(hào)為0 00,對(duì)應(yīng)in0輸入口。轉(zhuǎn) 換后的

27、數(shù)字信號(hào)輸出給單片機(jī)的p1 口。由于adc0809的參考電壓選為vre f+=vcc=5v , vref- = 0 v,故轉(zhuǎn)換后的數(shù)據(jù)為ui*255/5, ui為實(shí)際顯示的 電壓值。str端接單片機(jī)p3. 7 口、eoc端接單片機(jī)p3.6 口、oe接單片機(jī) p3. 5 口,由于adc080 9無(wú)內(nèi)部吋鐘,故需要外部電路提供一個(gè)50 0khz的 工作頻率以確保adc0809正確工作,故將單片機(jī)的ale 口輸出作為分頻電 路的輸入,進(jìn)行四分頻,得到500kiiz的時(shí)鐘頻率,就可以供給adc0809, 故clk接外部擴(kuò)展電路分頻電路的輸出口。3. 單片機(jī)模塊本設(shè)計(jì)采用普屮科技開(kāi)發(fā)盒內(nèi)的單片機(jī)90c5

28、16r d+,單片機(jī)最小系統(tǒng)由單 片機(jī)、時(shí)鐘電路和復(fù)位電路三部分組成。單片機(jī)作為核心控制器控制著整 個(gè)系統(tǒng)的工作,而吋鐘電路負(fù)責(zé)產(chǎn)生單片機(jī)工作所必需的吋鐘信號(hào),復(fù)位 電路使得單片機(jī)能夠正常、有序、穩(wěn)定地工作。4. led顯示模塊顯示電路在電路中用于將被測(cè)電壓的實(shí)際大小直觀的顯示在led數(shù)碼管上, 供用戶讀取電壓信息。本設(shè)計(jì)采用4合1的8段數(shù)碼管,使得整個(gè)系統(tǒng)響應(yīng)時(shí)間最快,顯示精度 更高,同樣還可以減少pcb表面走線提高系統(tǒng)穩(wěn)定性。單片機(jī)的p 1.0、 p1. 1、p 1. 2、p1. 3、p 1. 4、p1. 5、p 1. 6、p1. 7 分別與數(shù)碼管的 a、b、c、 d、e、f、g、dp顯示

29、段碼相連接,單片機(jī)的p2.4、p2.5、p2.6與四位八 段數(shù)碼管的選位輸入welak wela 2. wela3相連接,如此用單片機(jī)p2.4、 p2.5、p2.6控制p1 口輸出到四位led的相應(yīng)位,其中,p2. 4對(duì)應(yīng)數(shù)碼管 的最低位。5. 分頻電路由于adc0809片內(nèi)無(wú)時(shí)鐘,要它能夠正常工作需要外加時(shí)鐘脈沖,利用單 片機(jī)提供的地址鎖存使能信號(hào)al e經(jīng)d觸發(fā)器四分頻后獲得時(shí)鐘。如上圖所示,u4b的11腳接到單片機(jī)的ale腳(30腳)輸入信號(hào),q非 (即q上有一橫杠的腳)接d腳,(或取)作輸出,這是二分頻電路, 像這樣只用單級(jí)(一個(gè)d觸發(fā)器)就是二分頻,用兩級(jí)就是四分頻;如 此,經(jīng)分頻后

30、的時(shí)鐘頻率為單片機(jī)時(shí)鐘頻率的1/24,單片機(jī)的時(shí)鐘平率為 11. 0592m iiz,機(jī)器周期為6個(gè)時(shí)鐘周期,則ale腳輸出的頻率近視為2m hz, 在經(jīng)四分頻電路后得到頻率為500k hz的時(shí)鐘,在將此時(shí)鐘輸入到adc080 9轉(zhuǎn)換芯片作為時(shí)鐘信號(hào)。利用單片的ale輸出腳作為分頻電路的輸入時(shí) 應(yīng)該在單片的e a/vpp腳接上+5 v電壓。電路工作過(guò)程測(cè)量電壓時(shí)根據(jù)不同的測(cè)量電壓選擇不同的檔位,輸入被測(cè)量信號(hào)經(jīng)過(guò)檔 位電路的衰減或放大后輸入到adc08 09的in-0 (26腳)做信號(hào)模數(shù)轉(zhuǎn) 換,轉(zhuǎn)換后的數(shù)字信號(hào)輸出給at89c51的p 1 口,單片機(jī)將接收到的信號(hào) 做數(shù)據(jù)處理并將處理好的信號(hào)

31、由單片機(jī)的p2.4、p2.5、p2.6腳和三極管 控制3位led顯示p 0 口輸出的數(shù)據(jù),從而顯示本系統(tǒng)測(cè)量的電壓值。6. 系統(tǒng)軟件設(shè)計(jì)及實(shí)現(xiàn)本設(shè)計(jì)由于是在單片機(jī)的基礎(chǔ)上進(jìn)行數(shù)據(jù)處理和模塊控制的,故在軟件方面是通過(guò) 51單片機(jī)專門(mén)的設(shè)計(jì)語(yǔ)言c 51語(yǔ)言實(shí)現(xiàn)的。用c51開(kāi)發(fā)單片機(jī),開(kāi)發(fā)速度快,程 序可讀性和維護(hù)性較強(qiáng)。數(shù)字電壓表程序設(shè)計(jì)采用模塊化設(shè)計(jì)。本數(shù)字電壓表由以下兒部分功能模塊組成: adc輸入、a dc使能控制、測(cè)量數(shù)據(jù)處理、測(cè)量值顯示等電路組成。程序中的子程 序功能模塊主要分成3個(gè),延時(shí)、adc轉(zhuǎn)換和顯示,延時(shí)子程序在整個(gè)程序中多 次被調(diào)用,adc轉(zhuǎn)換則是每次測(cè)量都會(huì)需要用到的,當(dāng)進(jìn)行

32、測(cè)量時(shí),a dc0809將被 測(cè)量轉(zhuǎn)換為2進(jìn)制數(shù)發(fā)給單片機(jī)然后單片機(jī)根據(jù)軟件協(xié)議送顯示。軟件設(shè)計(jì)過(guò)程軟件設(shè)計(jì)使用單片機(jī)對(duì)各個(gè)單元電路進(jìn)行功能和工作時(shí)序的控制,它使得整個(gè) 系統(tǒng)正常的工作,完成整個(gè)電路的功能。程序設(shè)計(jì)的時(shí),首先使電路屮各個(gè)部件初始化,讓他們工作在隨時(shí)待機(jī)狀態(tài)。 單片機(jī)初始化是為了分配單片機(jī)的的引腳資源。單片機(jī)p1.o>1 .7端口作為a/d 轉(zhuǎn)換信號(hào)的輸入,用于采集處理信號(hào);單片的的p3 口用于信號(hào)的檢測(cè)和反饋, p3. 7作為啟動(dòng)a/d開(kāi)始轉(zhuǎn)換信號(hào)的控制端與a/d芯片的start腳連接;p3. 5作為a/d轉(zhuǎn)換芯片轉(zhuǎn)換信號(hào)輸出允許輸入輸出的控制信號(hào)與a/d的enab le

33、腳連接; p3. 6用于接收來(lái)自a/d轉(zhuǎn)換芯片eoc引腳的外來(lái)信號(hào),如果接收到的為高電平那 么表示a/d轉(zhuǎn)換結(jié)束;否則輸出低電平表明a/d正在進(jìn)行轉(zhuǎn)換。單片機(jī)的p 0.0p0.7用于輸出顯示;單片機(jī)的p 2.4p2.6用于控制輸出顯示。a/d初始化應(yīng) 該讓a/d輸出為0。初始化完后,單片機(jī)首先向a/d轉(zhuǎn)換芯片的啟動(dòng)轉(zhuǎn)換引端st啟動(dòng)轉(zhuǎn)換命令(當(dāng)轉(zhuǎn) 換結(jié)束后a/d的換結(jié)束引腳eoc輸出一個(gè)高電平);此階段單片機(jī)將通過(guò)p3. 2引腳 檢測(cè)a/d的準(zhǔn)換結(jié)束引腳eoc是否為高電平;當(dāng)檢測(cè)到高電平吋,單片機(jī)p3. 1向 a/d的輸出允許端0 e發(fā)一個(gè)高電平,a/d輸出轉(zhuǎn)換后的數(shù)字信號(hào),單片機(jī)接收到 來(lái)自a

34、/d轉(zhuǎn)換后的數(shù)字信號(hào)后,在片內(nèi)進(jìn)行數(shù)據(jù)處理,并將處理后有效的數(shù)據(jù)輸出 到led顯示。軟件流程圖本次設(shè)計(jì)采用c語(yǔ)言進(jìn)行程序設(shè)計(jì),因?yàn)樵赾語(yǔ)言中,函數(shù)是程序的基本組成單位, 因此可以很方便地用函數(shù)作為程序模塊來(lái)實(shí)現(xiàn)c語(yǔ)言程序。利用函數(shù),不僅可以實(shí) 現(xiàn)程序的模塊化,程序設(shè)計(jì)得簡(jiǎn)單和直觀,提髙了程序的易讀性和可維護(hù)性,而且 還可以把程序中普通用到的一些計(jì)算或操作編成通用的函數(shù),以供隨時(shí)調(diào)用,這樣 可以大大地減輕程序員的代碼工作量。本次設(shè)計(jì)的軟件代碼見(jiàn)附錄。7. 系統(tǒng)測(cè)試與結(jié)果分析因?yàn)楸鞠到y(tǒng)較為簡(jiǎn)單,連線不多,故不進(jìn)行軟件上的仿真,直接用51開(kāi)發(fā)盒進(jìn)行 測(cè)試。在keilu vision4中編輯好本系統(tǒng)的

35、c51代碼后,通過(guò)串口燒入51單片機(jī) 中,由于51單片機(jī)燒入的程序是存在rom中的,故掉電也不會(huì)丟失程序,比較方 便。在實(shí)驗(yàn)室里,本系統(tǒng)通過(guò)對(duì)0'200v范圍內(nèi)眾多電壓的測(cè)量,結(jié)果顯示為較為 準(zhǔn)確。由于本設(shè)計(jì)用到了 ne5532作為200 mv擋位的放大器,故需要正負(fù)12v的電 壓提供給ne5532,而另一個(gè)直流電源作為測(cè)試數(shù)字電壓表的電源將電壓值輸入到 系統(tǒng)中。下圖是本系統(tǒng)在實(shí)驗(yàn)室測(cè)試的狀態(tài)。而測(cè)試的結(jié)果列表如下:20 omv檔位測(cè)試結(jié)果:(由于實(shí)驗(yàn)室提供的電壓有限,最低位為十分位,故只能測(cè) 兩組電壓數(shù)據(jù))2v檔位測(cè)試結(jié)果:20v檔位測(cè)試結(jié)果:200v檔位測(cè)試結(jié)果:(由于實(shí)驗(yàn)室電壓最

36、高只能到32v,故測(cè)量值最多只能到3 2v, 但可以根據(jù)20飛2v內(nèi)的測(cè)量值與實(shí)際值的比較,看出本數(shù)字電壓表的準(zhǔn)確性)結(jié)果分析:從上面4個(gè)檔位的測(cè)試結(jié)果可以看出,每個(gè)檔位的測(cè)量值都較接近實(shí)際值,其中對(duì) 于低的兩個(gè)檔位(2 oomv和2v)來(lái)說(shuō),測(cè)量結(jié)果的誤差較大,這是由于實(shí)驗(yàn)室所 給電源精度有限造成的,因?yàn)閷?duì)于毫伏檔來(lái)說(shuō),電源只能顯示出百毫伏,即0. iv、 0.2 v,而無(wú)法精確到幾十毫伏,故造成誤差較大,2v檔位的誤差也同理。同吋, 誤差的出現(xiàn)也是由于檔位電路電阻的不完全準(zhǔn)確引起的,而且adc0809本身也自帶 了誤差,誤差為1lsb,并且在單片機(jī)處理器的處理屮,由于計(jì)算的數(shù)據(jù)類型會(huì)涉 及

37、到數(shù)據(jù)類型轉(zhuǎn)換,故精度也會(huì)受到一定的影響。總的來(lái)說(shuō),測(cè)量值雖然與實(shí)際值 有偏差,但所幸偏差不大,也得到了老師的認(rèn)可。8. 心得體會(huì)與項(xiàng)目總結(jié)設(shè)計(jì)心得本次設(shè)計(jì)項(xiàng)目較為簡(jiǎn)單,并且由于老師給出了三種實(shí)現(xiàn)方案,故很快就確定了自己 要實(shí)現(xiàn)的方案,故開(kāi)題立項(xiàng)做得比較早,在初期就確定了自己要用單片機(jī)加ad轉(zhuǎn) 換芯片實(shí)現(xiàn)本次設(shè)計(jì)的思路,所以也能較早地去學(xué)習(xí)單片機(jī)的有關(guān)知識(shí),比如單片 機(jī)的系統(tǒng)結(jié)構(gòu)以及單片機(jī)語(yǔ)言c51。而在屮期學(xué)習(xí)的過(guò)程屮,我一開(kāi)始是先看c51 的語(yǔ)法,然后在掌握了一定的語(yǔ)法基礎(chǔ)后開(kāi)始接觸各種有關(guān)數(shù)字電壓表設(shè)計(jì)的例子, 比如ad/da的例子,數(shù)碼管顯示的例子等等,最后在開(kāi)始制作自己的設(shè)計(jì)前又看了

38、 一兩個(gè)關(guān)于用51單片機(jī)實(shí)現(xiàn)的數(shù)字電壓表例子,這些都給我挺大的啟示。而在后 期設(shè)計(jì)的過(guò)程中,一開(kāi)始編寫(xiě)的代碼并不能成功地運(yùn)行出來(lái),后來(lái)經(jīng)過(guò)與學(xué)習(xí)過(guò) 51單片機(jī)的同學(xué)交流后重新確定設(shè)計(jì)思路,又將代碼修改、測(cè)試,最后初步得到 了想要的在0遼v內(nèi)的結(jié)果,然后后面的工作就比較簡(jiǎn)單了,就是將其他三個(gè)檔位 在面包板上搭建起來(lái),再分別送給ad轉(zhuǎn)換器,通過(guò)調(diào)試后得到的各個(gè)檔位的測(cè)量 值都較為接近實(shí)際值,在自己確認(rèn)系統(tǒng)功能實(shí)現(xiàn)后就交給老師驗(yàn)收??傊?,本次設(shè) 計(jì)過(guò)程工作量不大,一個(gè)人就能完成,在本次設(shè)計(jì)的過(guò)程中,雖然經(jīng)歷了沒(méi)有結(jié)果 的傷心,日夜編寫(xiě)代碼的疲倦,不斷奔赴實(shí)驗(yàn)室調(diào)試的汗水,但所幸最后得到了自 己想要的

39、結(jié)果,并且增長(zhǎng)了自己對(duì)有關(guān)數(shù)字電壓表方面知識(shí),也提到了白己的硬件 電路的設(shè)計(jì)能力,也學(xué)習(xí)到了 51單片機(jī)的開(kāi)發(fā)過(guò)程,總之我覺(jué)得本次課程設(shè)計(jì)對(duì) 于自己來(lái)說(shuō)比較滿意。項(xiàng)目總結(jié)本次項(xiàng)目相對(duì)于其他課題來(lái)說(shuō)較為簡(jiǎn)單,但由于木人z前沒(méi)有接觸過(guò)所選用的單片 機(jī),故乂顯得不太簡(jiǎn)單,但最終還是得到了想要的結(jié)果。本項(xiàng)目是一個(gè)以單片機(jī)為 控制模塊,以ad0809芯片為ad轉(zhuǎn)換器,以le d數(shù)碼管為顯示模塊,可測(cè)量200訛、 2 v、20v、200v四個(gè)檔位的電壓表,待測(cè)量信號(hào)通過(guò)檔位電路后送入ad0809進(jìn)行 ad轉(zhuǎn)換,而轉(zhuǎn)換得到的數(shù)字信號(hào)被單片機(jī)讀取,計(jì)算處理得到測(cè)量值,最后單片 機(jī)通過(guò)對(duì)數(shù)碼管的位選和段選信號(hào)將

40、測(cè)量值送給數(shù)碼管顯示。本設(shè)計(jì)思路較為明確, 軟件設(shè)計(jì)配合碩件設(shè)計(jì),最終得到軟件碩件結(jié)合的整個(gè)數(shù)字電壓表系統(tǒng)。設(shè)計(jì)結(jié)果綜述:(1) 數(shù)字電壓表完成的功能是對(duì)電壓的測(cè)量,它主耍由分壓電路、51單片機(jī)最小 系統(tǒng)、顯示部分、ad轉(zhuǎn)換和控制部分組成。(2) 數(shù)字電壓表屬于一種測(cè)量工具,其本身的好壞直接影響到測(cè)量結(jié)果,因此上 面的設(shè)計(jì)只是設(shè)計(jì)用來(lái)測(cè)量電壓,其它量的測(cè)量則要添加擴(kuò)展功能。(3) 單片機(jī)部分跟ad轉(zhuǎn)換部分是整個(gè)設(shè)計(jì)的核心,adc0809的參考電壓vref= vcc ,所以轉(zhuǎn)換之后的數(shù)據(jù)要經(jīng)過(guò)數(shù)據(jù)處理,在數(shù)碼管上顯示出電壓值。實(shí)際顯示 的電壓值/255*vref); at89c51單片機(jī)作為主控

41、芯片,配以rc上電復(fù)位電路和 1 1. 0592mhz震蕩電路,使系統(tǒng)穩(wěn)定運(yùn)行。(4) 在本次軟件設(shè)計(jì)過(guò)程中,采用的是c51語(yǔ)言編程。致謝經(jīng)過(guò)幾周的努力,我的硬件課程設(shè)計(jì)基本完成了。在這次課程設(shè)計(jì)的實(shí)踐中, 學(xué)到很多有用的知識(shí),也積累了寶貴的經(jīng)驗(yàn)。在此要特別感謝老師,在我做設(shè)計(jì)期間得到他的精心指導(dǎo),他對(duì)我們耍求嚴(yán) 格,并對(duì)實(shí)踐中出現(xiàn)的問(wèn)題給予耐心的解答,完成設(shè)計(jì)后在百忙之中抽空給我 們驗(yàn)收,給出修改意見(jiàn)。硬件課程設(shè)計(jì)的順利完成離不開(kāi)老師的幫助的。同時(shí) 感謝身邊的同學(xué),他們?yōu)槲姨峁┝撕芏鄬氋F的資料和幫助。同時(shí)由于自己對(duì)一些知識(shí)的掌握不是很深刻,設(shè)計(jì)z中難免會(huì)出現(xiàn)一些漏洞, 雖然設(shè)計(jì)做的不是很完美

42、,但是畢竟這是自己認(rèn)認(rèn)真真做岀來(lái)的。希望各位老 師給予指正。同時(shí)感謝院系對(duì)我們的良苦用心,希望我們通過(guò)這次硬件課程設(shè) 計(jì)對(duì)以后的畢業(yè)設(shè)計(jì),甚至以后的工作都能像這次課程設(shè)計(jì)過(guò)程一樣,井井有 條,不斷實(shí)現(xiàn)自己的小目標(biāo),最后得到自己想要的結(jié)果。再次衷心感謝所有關(guān)心和幫助過(guò)我的老師和同學(xué),謝謝你們!參考文獻(xiàn)1 宋戈黃鶴松.51單片機(jī)應(yīng)用開(kāi)發(fā)范例大全m.北京:人民郵電出版社20122 羅杰謝自美主編.電子線路設(shè)計(jì)實(shí)驗(yàn)測(cè)試m.北京:電子工業(yè)出版社200 8. 43 馮占嶺.數(shù)字電壓表及數(shù)字多用表檢測(cè)技術(shù)m.北京:中國(guó)計(jì)量出版社20034 賈金玲.單片機(jī)原理及應(yīng)用m.成都:電子科技大學(xué)出版社.2004附錄(數(shù)字電壓表c 51代碼)#incl h>#includ intrins . h>sbitst二p3"7; 轉(zhuǎn)換啟動(dòng)信號(hào),正脈沖有效sbiteoc = p36;/輸出允許信號(hào),高有效sbitoe二p3"5; /轉(zhuǎn)換結(jié)束信號(hào),高有效。當(dāng)微處理器送出該信號(hào)時(shí),adc0808/0809 的輸出三態(tài)門(mén)被打開(kāi),使轉(zhuǎn)換結(jié)果通過(guò)數(shù)據(jù)總線被讀走。在中斷工作方式下,該信

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論