單片機(jī)頻率計設(shè)計實(shí)驗(yàn)報告_第1頁
單片機(jī)頻率計設(shè)計實(shí)驗(yàn)報告_第2頁
單片機(jī)頻率計設(shè)計實(shí)驗(yàn)報告_第3頁
單片機(jī)頻率計設(shè)計實(shí)驗(yàn)報告_第4頁
單片機(jī)頻率計設(shè)計實(shí)驗(yàn)報告_第5頁
已閱讀5頁,還剩22頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、2010 級電子信息工程電子信息工程專業(yè)單片機(jī)原理及應(yīng)用單片機(jī)原理及應(yīng)用課程設(shè)計報告課程設(shè)計報告設(shè)計題目單片機(jī)頻率計的設(shè)計程海龍劉永姓名及學(xué)號何曉20100342040學(xué)院工程技術(shù)學(xué)院專業(yè)電子信息工程班級10.2班指導(dǎo)老師方飛2013 年年 5 月月 22 號號- 1 -目錄目錄、 設(shè)計題目及要求設(shè)計題目及要求1、設(shè)計題目基于單片機(jī)的數(shù)字頻率計設(shè)計。2、設(shè)計要求(1)基本要求用單片機(jī)的定時器/計數(shù)器功能,外部擴(kuò)展 8 位 LED 數(shù)碼管,要求累計每秒進(jìn)入單片機(jī)的外部脈沖個數(shù),用 LED 數(shù)碼管顯示出來;頻率范圍:10Hz10MHz 方波(TTL 電平),并顯示出來;要求畫出單片機(jī)硬件系統(tǒng)框圖,

2、電路原理圖,軟件流程圖。(2)發(fā)揮部分頻率上擴(kuò)至 10KHz(分頻后再測量) ;10mV 正弦信號頻率測量(設(shè)計信號調(diào)理電路) ;自制穩(wěn)壓電壓。 指導(dǎo)教師簽名: 年 月 日二、指導(dǎo)教師點(diǎn)評二、指導(dǎo)教師點(diǎn)評指導(dǎo)教師簽名: 年 月 日三、成績?nèi)?、成?報告(70%): 分,作品(30%): 分,總分: 分驗(yàn)收蓋章 年 月 日- 2 -1 引言.- 4 -2 芯片簡介.- 4 -2.1 單片機(jī).- 4 -2.1.1 單片機(jī)特點(diǎn)及引腳圖.- 4 -2.1.2 單片機(jī)引腳說明.- 6 -2.2 其它芯片資料.- 8 -2.2.1 74HC573.- 8 -2.2.2 74HC138.- 8 -3 方案選

3、擇與論證.- 8 -3.1 方案比較.- 8 -3.2 方案論證.- 9 -3.3 方案選擇.- 10 -4 頻率計系統(tǒng)原理概述.- 10 -4.1 頻率計方案的概述.- 10 -4.2 系統(tǒng)設(shè)計結(jié)構(gòu)圖.- 11 -4.3 顯示功能描述.- 11 -5 系統(tǒng)硬件設(shè)計.- 11 -5.1 時鐘電路.- 11 -5.2 復(fù)位電路.- 12 -5.3 顯示電路 .- 13 -5.4 放大整形電路 .- 14 -6 軟件設(shè)計.- 15 -6.1 軟件實(shí)現(xiàn)原理 .- 15 -6.2 軟件流程圖 .- 15 -7 系統(tǒng)調(diào)試.- 16 -8 總結(jié).- 16 -9 致謝.- 17 -10 參考文獻(xiàn).- 17

4、-11 附錄.18摘要摘要隨著電子信息產(chǎn)業(yè)的不斷發(fā)展,信號頻率的測量在科技研究和實(shí)際應(yīng)用中- 3 -的作用日益重要。傳統(tǒng)的頻率計通常是用很多的邏輯電路和時序電路來實(shí)現(xiàn)的,這種電路一般運(yùn)行較慢,而且測量頻率的范圍較小??紤]到上述問題,本課題基于單片機(jī)設(shè)計頻率計。首先,我們把待測信號經(jīng)過放大整形,然后把信號送入單片機(jī)的定時計數(shù)器里進(jìn)行計數(shù),獲的頻率值,最后把測得的頻率數(shù)值送入顯示電路里進(jìn)行顯示。本設(shè)計從頻率計的原理出發(fā),介紹了基于單片機(jī)的頻率計的設(shè)計方案,選擇了實(shí)現(xiàn)系統(tǒng)的各種電路元器件。關(guān)鍵字:關(guān)鍵字:單片機(jī) 頻率計 測量- 4 -1 引言引言頻率儀器是數(shù)字電路中一個典型應(yīng)用,實(shí)際的硬件設(shè)計用到的

5、器件較多,聯(lián)機(jī)比較復(fù)雜,而且會產(chǎn)生比較大的延遲。隨著復(fù)雜可編程邏輯器件的廣泛應(yīng)用,將使整個系統(tǒng)大大簡化。提高整體性能,它是直接用十進(jìn)制數(shù)字來顯示被測信號頻率的一種測量裝置。它不僅可以測量正弦波,方波,三角波,尖脈沖信號和其他具有周期的信號的頻率,而且還可以測量他們的周期。經(jīng)過改裝,可以測量脈沖寬度,做成數(shù)字式脈寬測量儀,可以測量電容做成數(shù)字電容測量儀;在電路中增加傳感器,還可以做成數(shù)字脈搏儀,計價器等。因此數(shù)字頻率計在測量物理量方面有廣泛應(yīng)用。本設(shè)計在測量頻率時分別采用了測周法和測頻法。在頻率小于 100Hz 時用測周法,在頻率大于 100Hz 時用測頻法。測周法的原理實(shí)際上是測量一個周期所用

6、時間的多少,再取周期的倒數(shù),就是頻率了。測周法適用于低頻段的測量,當(dāng)頻率較高時,采用測周法誤差會比較大。測頻法的原理實(shí)際上是測量單位時間內(nèi)的周期數(shù),所測量即是頻率,測頻法適用于較高頻段的測量,當(dāng)測量的頻率較低時,采用測頻法誤差會比較大。本課題的任務(wù)是設(shè)計一個基于單片機(jī)技術(shù)的數(shù)字頻率計。主要介紹了整形電路,控制電路和顯示電路的構(gòu)成原理,以及測周法和測頻法的基本方法,并且進(jìn)行了相應(yīng)的軟硬件設(shè)計。2 芯片簡介芯片簡介2.1 單片機(jī)單片機(jī)2.1.1 單片機(jī)特點(diǎn)及引腳圖單片機(jī)特點(diǎn)及引腳圖AT89S52 是一種低功耗、高性能 CMOS8 位微控制器,具有 8K 在系統(tǒng)可編程 Flash 存儲器。AT89S

7、52 使用 Atmel 公司高密度非易失性存儲器技術(shù)制造,與工業(yè) 80C51 產(chǎn)品指令和引腳完全兼容。片上 Flash 允許程序存儲器在系統(tǒng)可編程,亦適于常規(guī)編程器。在單芯片上,AT89S52 擁有靈巧的 8 位 CPU 和在系統(tǒng)可編程 Flash,使 AT89S52 為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。AT89S52 具有以下標(biāo)準(zhǔn)性功能:- 5 -1、與 MCS-51 單片機(jī)產(chǎn)品兼容;2、8K 支持在線編程(ISP)的 FLASH 結(jié)構(gòu)程序存儲器,1000 次擦寫壽命;3、全靜態(tài)操作:0Hz-33MHz;4、三級加密程序存儲器;5、32 個可編程 I/O 口線;6、3 個

8、16 位定時器/計數(shù)器;7、6 個中斷源;8、全雙工 UART 串行通道;9、低功耗空閑和掉電模式;10、掉電后中斷可喚醒;11、看門狗定時器;12、雙數(shù)據(jù)指針;13、掉電標(biāo)識符。工業(yè)級產(chǎn)品,溫度范圍(-40C 到 85C),PU 為無鉛環(huán)保產(chǎn)品。另外,AT89S52 可降至 0Hz 靜態(tài)邏輯操作,支持 2 種軟件可選擇節(jié)電模式??臻e模式下,CPU 停止工作,允許 RAM、定時器/計數(shù)器、串口中斷繼續(xù)工作。掉電保護(hù)方式下,RAM 內(nèi)容被保存,振蕩器被凍結(jié),單片機(jī)一切工作停止,直到下一個中斷或硬件復(fù)位為止。其 PDIP 圖如下圖 2-1 所示。圖 2-1 AT89S52 單片機(jī)的引腳配置圖- 6

9、 -2.1.2 單片機(jī)引腳說明單片機(jī)引腳說明P0 口:P0 口是一個 8 位漏極開路的雙向 I/O 口。作為輸出口,每位能驅(qū)動8 個 TTL 邏輯電平。對 P0 端口寫“1”時,引腳用作高阻抗輸入。當(dāng)訪問外部程序和數(shù)據(jù)存儲器時,P0 口也被作為低 8 位地址/數(shù)據(jù)復(fù)用。在這種模式下,P0不具有內(nèi)部上拉電阻。在 flash 編程時,P0 口也用來接收指令字節(jié);在程序校驗(yàn)時,輸出指令字節(jié)。程序校驗(yàn)時,需要外部上拉電阻。P1 口:P1 口是一個具有內(nèi)部上拉電阻的 8 位雙向 I/O 口,P1 輸出緩沖器能驅(qū)動 4 個 TTL 邏輯電平。對 P1 端口寫“1”時,內(nèi)部上拉電阻把端口拉高,此時可以作為輸

10、入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL) 。P2 口:P2 口是一個具有內(nèi)部上拉電阻的 8 位雙向 I/O 口,P2 輸出緩沖器能驅(qū)動 4 個 TTL 邏輯電平。對 P2 端口寫“1”時,內(nèi)部上拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL) 。在訪問外部程序存儲器或用 16 位地址讀取外部數(shù)據(jù)存儲器(例如執(zhí)行 MOVXDPTR)時,P2 口送出高八位地址。在這種應(yīng)用中,P2 口使用很強(qiáng)的內(nèi)部上拉發(fā)送 1。在使用 8 位地址(如 MOVXRI)訪問外部數(shù)據(jù)存儲器時,P2 口輸出 P2 鎖

11、存器的內(nèi)容。在 flash 編程和校驗(yàn)時,P2 口也接收高 8 位地址字節(jié)和一些控制信號。P3 口:P3 口是一個具有內(nèi)部上拉電阻的 8 位雙向 I/O 口,P3 輸出緩沖器能驅(qū)動 4 個 TTL 邏輯電平。對 P3 端口寫“1”時,內(nèi)部上拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流。P3 口亦作為 AT89S52 特殊功能(第二功能)使用,如下表所示。在 flash 編程和校驗(yàn)時,P3 口也接收一些控制信號。端口引腳第二功能:P3.0RXD(串行輸入口);P3.1TXD(串行輸出口);P3.2(外中斷 0);INT0P3.3(外中斷

12、 1);INT1P3.4T0(定時/計數(shù)器 0);- 7 -P3.5T1(定時/計數(shù)器 1);P3.6(外部數(shù)據(jù)存儲器寫選通);WRP3.7(外部數(shù)據(jù)存儲器讀選通);RD此外,P3 口還接收一些用于 FLASH 閃存編程和程序校驗(yàn)的控制信號。RST:復(fù)位輸入。當(dāng)振蕩器工作時,RST 引腳出現(xiàn)兩個機(jī)器周期以上高電平將是單片機(jī)復(fù)位。ALE/:當(dāng)訪問外部程序存儲器或數(shù)據(jù)存儲器時,ALE(地址鎖存允PROG許)輸出脈沖用于鎖存地址的低 8 位字節(jié)。一般情況下,ALE 仍以時鐘振蕩頻率的 1/6 輸出固定的脈沖信號,因此它可對外輸出時鐘或用于定時目的。要注意的是,每當(dāng)訪問外部數(shù)據(jù)存儲器時將跳過一個 AL

13、E 脈沖。對 FLASH 存儲器編程期間,該引腳還用于輸入編程脈沖() 。如有必要,可通過對特殊功PROG能寄存器(SFR)區(qū)中的 8EH 單元的 D0 位置位,可禁止 ALE 操作。該位置位后,只有一條 MOVX 和 MOVC 指令才能將 ALE 激活。此外,該引腳會被微弱拉高,單片機(jī)執(zhí)行外部程序時,應(yīng)設(shè)置 ALE 禁止位無效。:程序儲存允許()輸出是外部程序存儲器的讀選通信號,當(dāng)PSENPSENAT89S52 由外部程序存儲器取指令(或數(shù)據(jù))時,每個機(jī)器周期兩次有效,PSEN即輸出兩個脈沖,在此期間,當(dāng)訪問外部數(shù)據(jù)存儲器,將跳過兩次信號。PSEN/VPP:外部訪問允許,欲使 CPU 僅訪問

14、外部程序存儲器(地址為EA0000H-FFFFH) ,EA 端必須保持低電平(接地) 。需注意的是:如果加密位LB1 被編程,復(fù)位時內(nèi)部會鎖存端狀態(tài)。如端為高電平(接 Vcc 端) ,EAEACPU 則執(zhí)行內(nèi)部程序存儲器的指令。FLASH 存儲器編程時,該引腳加上+12V的編程允許電源 Vpp,當(dāng)然這必須是該器件是使用 12V 編程電壓 Vpp。XTAL1:振蕩器反相放大器和內(nèi)部時鐘發(fā)生電路的輸入端。XTAL2:振蕩器反相放大器的輸出端。2.2 其它芯片資料其它芯片資料2.2.1 74HC573八進(jìn)制 3 態(tài)非反轉(zhuǎn)透明鎖存器74HC573 高性能硅門 CMOS 器件- 8 -SL74HC573

15、 跟 LS/AL573 的管腳一樣的器件。SL74HC573 跟 LS/AL573 的管腳一樣。器件的輸入是和標(biāo)準(zhǔn) CMOS 輸出兼容的,加上拉電阻他們能和 LS/ALSTTL 輸出兼容。數(shù)據(jù)鎖存當(dāng)輸入的數(shù)據(jù)消失時,在芯片的輸出端,數(shù)據(jù)仍然保持; 這個概念在并行數(shù)據(jù)擴(kuò)展中經(jīng)常使用到。OE120Vcc1D2191Q2D3182Q3D4173Q4D5164Q5D6155Q6D7146Q7D8137Q8D9128QGND1011LEOELEDQLHHHLHLLLLXQ0HXXZ1 腳三態(tài)允許控制端低電平有效1D8D 為數(shù)據(jù)輸入端1Q8Q 為數(shù)據(jù)輸出端74HC573 引腳圖- 9 -LE 為鎖存控制端

16、;OE 為使能端。2.2.2 74HC13874HC138 是一款高速 CMOS 器件,74HC138 引腳兼容低功耗肖特基TTL(LSTTL)系列。 74HC138 譯碼器可接受 3 位二進(jìn)制加權(quán)地址輸入(A0, A1 和 A2),并當(dāng)使能時,提供 8 個互斥的低有效輸出(Y0 至 Y7)。74HC138 特有 3 個使能輸入端:兩個低有效(E1 和 E2)和一個高有效(E3)。除非 E1 和 E2 置低且 E3 置高,否則 74HC138 將保持所有輸出為高。利用這種復(fù)合使能特性,僅需 4 片 74HC138 芯片和 1 個反相器,即可輕松實(shí)現(xiàn)并行擴(kuò)展,組合成為一個 1-32(5 線到 3

17、2 線)譯碼器。任選一個低有效使能輸入端作為數(shù)據(jù)輸入,而把其余的使能輸入端作為選通端,則 74HC138 亦可充當(dāng)一個 8 輸出多路分配器,未使用的使能輸入端必須保持綁定在各自合適的高有效或低有效狀態(tài)。74HC138 與 74HC238 邏輯功能一致,只不過 74HC138 為反相輸出。- 10 -3 方案選擇與論證方案選擇與論證3.1 方案比較方案比較方案一:本方案主要以單片機(jī)為核心,利用單片機(jī)的外部中斷和定時功能來實(shí)現(xiàn)頻率的計數(shù)并且利用單片機(jī)的動態(tài)掃描把測出的數(shù)據(jù)送到數(shù)字顯示電路顯示。其實(shí)原理框圖如 2.1 所示信號放大電路信號整形單片機(jī)AT89S52 電路數(shù)字顯示電路2.1 方案一原理圖

18、- 11 - 方案二:本方案主要以數(shù)字器件為核心,主要分為時基電路,邏輯控制電路,放大整形電路,閘門電路,計數(shù)電路,鎖存電路,譯碼顯示電路七大部分。其原理框圖如圖 2.2 所示邏輯控制電路譯碼顯示器鎖存器閘門電路計數(shù)器時基電路放大整形電路2.2 方案二原理框圖3.2 方案論證方案論證方案一:本方案主要以單片機(jī)為核心,被測信號先進(jìn)入信號放大電路進(jìn)行放大,再被送到波形整形電路整形,把被測得正弦波改為方波。利用單片機(jī)的外部中斷和定時器的功能對被測信號進(jìn)行計數(shù)。編寫相應(yīng)的程序可以使單片機(jī)自動調(diào)節(jié)測量的量程,并把測出的頻率數(shù)據(jù)送到顯示電路顯示。方案二:本方案使用大量的數(shù)字器件,被測量信號放大整形電路變成

19、計數(shù)器所要求的脈沖信號,其頻率于被測信號的頻率相同。同時時基電路提供標(biāo)準(zhǔn)時間基準(zhǔn)信號,其高電平持續(xù)時間 1s,當(dāng) 1s 信號來到時,閘門開通,被測脈沖信號通過閘門,計數(shù)器開始計數(shù),直到 1s 信號結(jié)束閘門關(guān)閉,停止計數(shù)。若在閘門時間 1s 內(nèi)計數(shù)器計得的脈沖個數(shù)為 N,則被測信號頻率 FX=NHZ。邏輯控制電路的作用有兩個:一是產(chǎn)生鎖存脈沖,是顯示器上的數(shù)字穩(wěn)定;二是產(chǎn)生清零脈沖,使計數(shù)器每次測量從零開始計數(shù)。- 12 -3.3 方案選擇方案選擇比較以上兩種方案可以知道,方案一得核心是單片機(jī),使用的元器件少,原理電路簡單,調(diào)試簡單只要改變程序的設(shè)定值則可以實(shí)現(xiàn)不同頻率范圍的測試能自動選擇測試的

20、量程。與方案一相比較方案二則使用了大量的數(shù)字元器件,原理電路復(fù)雜,硬件調(diào)試麻煩。如要測量高頻的信號還需要加上分頻電路,價格相對高了點(diǎn)?;谏鲜?,所以選擇了方案一。4 頻率計系統(tǒng)原理概述頻率計系統(tǒng)原理概述4.1 頻率計方案的概述頻率計方案的概述本頻率計的設(shè)計以 AT89S52 單片機(jī)為核心,利用它內(nèi)部的外部中斷和定時/計數(shù)器完成待測信號周期/頻率的測量,單片機(jī) AT89S52 內(nèi)部具有 2 個 16 位定時/計數(shù)器,定時/計數(shù)器的工作可以由編程來實(shí)現(xiàn)定時,計數(shù)和產(chǎn)生計數(shù)溢出時中斷要求的功能。由外部中斷檢測外部信號下降沿進(jìn)行計數(shù),這樣可以用來測量待測信號的頻率。外部輸入在每個機(jī)器周期被采用一次,這

21、樣查測一次從 1到 0 的跳變至少需要 2 個機(jī)器周期,所以最大計數(shù)速率為時鐘頻率 1/24。定時/計數(shù)器的工作有運(yùn)行控制位 TR 控制,當(dāng) TR 置 1,定時/計數(shù)器開始計數(shù):當(dāng)TR 清 0 ,停止計數(shù)。4.2 系統(tǒng)設(shè)計結(jié)構(gòu)圖系統(tǒng)設(shè)計結(jié)構(gòu)圖利用單片機(jī)的外部中斷和定時功能來實(shí)現(xiàn)頻率的計數(shù)并且利用單片機(jī)的動態(tài)掃描把測出的數(shù)據(jù)送到數(shù)字顯示電路顯示。其實(shí)原理框圖如 4.1 所示信號放大電路信號整形單片機(jī)AT89S52 電路數(shù)字顯示電路圖 4.1 系統(tǒng)機(jī)構(gòu)圖4.3 顯示功能描述顯示功能描述該設(shè)計是用 2 個四位共陰數(shù)碼管構(gòu)成顯示,由 P0 進(jìn)行段選,P2 的高三位- 13 -進(jìn)行位選,由 74HC13

22、8 進(jìn)行驅(qū)動。至右向左依次增大。5 系統(tǒng)硬件設(shè)計系統(tǒng)硬件設(shè)計5.1 時鐘電路時鐘電路在單片機(jī)片內(nèi)有一個高增益的反相放大器,反相放大器的輸入端為XTAL1,輸出端為 XTAL2,由該放大器構(gòu)成的振蕩電路和時鐘電路一起構(gòu)成了單片機(jī)的時鐘方式。根據(jù)硬件電路的不同,單片機(jī)的時鐘連接方式可分為內(nèi)部時鐘方式和外部時鐘方式。我們采用內(nèi)部時鐘方式,如圖 5-1 所示。在內(nèi)部方式時鐘電路中,必須在 XTAL1 和 XTAL2 引腳兩端跨接石英晶體振蕩器和兩個微調(diào)電容構(gòu)成振蕩電路,C1 和 C2 取 30pF 左右,晶振的頻率取值范圍1.2MHz12MHz。根據(jù)實(shí)際情況,本設(shè)計采用 12MHz 作為系統(tǒng)的外部晶振

23、,電容值取 30pF。圖 5-1 單片機(jī)晶振電路5.2 復(fù)位電路復(fù)位電路單片機(jī)復(fù)位是使 CPU 和系統(tǒng)中的其他功能部件都處在一個確定的初始狀態(tài),并從這個狀態(tài)開始工作。無論是單片機(jī)剛開始接上電源時,還是斷電后或者發(fā)生故障后都要復(fù)位。所以,必須弄清楚單片機(jī)復(fù)位的條件、復(fù)位電路和復(fù)位后的狀態(tài)。單片機(jī)復(fù)位的條件是,必須使 RST 引腳(9 腳)加上持續(xù)兩個機(jī)器周期(即 24 個振蕩周期)的高電平。例如,若時鐘頻率為 12MHz,每機(jī)器周期為1us,則只需 2us 以上時間的高電平。在 RST 引腳出現(xiàn)高電平后的第二個周期執(zhí)行復(fù)位。單片機(jī)常見的復(fù)位電路有上電復(fù)位電路和按鍵復(fù)位電路。為了方便- 14 -系

24、統(tǒng)的硬件初始化,我們采用按鍵復(fù)位電路。如圖 5-2 所示。圖 5-2 單片機(jī)復(fù)位電路按鍵復(fù)位電路除具有上電復(fù)位功能外,若要復(fù)位,只需要按下 S1 鍵,在RST 端產(chǎn)生一個復(fù)位高電平。5.3 顯示電路顯示電路我們測量的頻率最終要顯示出來,八段LED 數(shù)碼管顯示器由8 個發(fā)光二極管組成?;? 個長條形的發(fā)光管排列成“日”字形,另一個圓點(diǎn)形的發(fā)光管在數(shù)碼管顯示器的右下角作為顯示小數(shù)點(diǎn)用,它能顯示各種數(shù)字及部份英文字母。LED 數(shù)碼管顯示器有兩種形式:一種是8 個發(fā)光二極管的陽極都連在一起的,稱之為共陽極LED 數(shù)碼管顯示器;另一種是8 個發(fā)光二極管的陰極都連在一起的,稱之為共陰極LED 數(shù)碼管顯示

25、器。共陰和共陽結(jié)構(gòu)的LED 數(shù)碼管顯示器各筆劃段名和安排位置是相同的。當(dāng)二極管導(dǎo)通時,對應(yīng)的筆劃段發(fā)亮,由發(fā)亮的筆劃段組合而顯示的各種字符。8個筆劃段 h g f e d c b a 對應(yīng)于一個字節(jié)(8 位)的D7、D6、D5、。D4、D3、D2、D1、D0,于是用8 位二進(jìn)制碼就能表示欲顯示字符的字形代碼。在單片機(jī)應(yīng)用系統(tǒng)中,數(shù)碼管顯示器顯示常用兩種辦法:靜態(tài)顯示和動態(tài)掃描顯示。所謂靜態(tài)顯示,就是每一個數(shù)碼管顯示器都要占用單獨(dú)的具有鎖存功能的I/O 接口用于筆劃段字形代碼。這樣單片機(jī)只要把要顯示的字形代碼發(fā)送到接口電路,就不用管它了,直到要顯示新的數(shù)據(jù)時,再發(fā)送新的字形碼,因此,使用這種辦法

26、單片機(jī)中CPU 的開銷小,能供給單獨(dú)鎖存的I/O 接口電路很多。在單片機(jī)系統(tǒng)中動態(tài)掃描顯示接口是單片機(jī)中應(yīng)用最為廣泛的一種顯示方式之一。其接口電路是把所有顯示器的8 個筆劃段a-h 同名端連在一起,而每- 15 -一個顯示器的公共極COM 是各自獨(dú)立地受I/O 線控制。CPU 向字段輸出口送出字形碼時,所有顯示器接收到相同的字形碼,但究竟是那個顯示器亮,則取決于COM 端,而這一端是由I/O 控制的,所以我們就能自行決定何時顯示哪一位了。而所謂動態(tài)掃描就是指我們采用分時的辦法,輪流控制各個顯示器的COM 端,使各個顯示器輪流點(diǎn)亮。在輪流點(diǎn)亮掃描過程中,每位顯示器的點(diǎn)亮?xí)r間是極為短暫的(約1ms

27、),但由于人的視覺暫留現(xiàn)象及發(fā)光二極管的余輝效應(yīng),盡管實(shí)際上各位顯示器并非同時點(diǎn)亮,但只要掃描的速度足夠快,給人的印象就是一組穩(wěn)定的顯示數(shù)據(jù),不會有閃爍感。5.4 放大整形電路放大整形電路CLK13Q011Q110Q29Q38MR12U4BCLK1Q03Q14Q25Q36MR2U4A16分分256分分1fp16fp256fpD2D1R3VCCCOMMAND111213U1D8910U1C456U1B123U1A910118U3C12J11011121314151617INPUT121312U3A74ALS103456U3B74ALS10圖 5-4 放大整形電路由于輸入的信號可以是正弦波。而單片

28、機(jī)內(nèi)部要求被測信號為方波,所以需要設(shè)計一個整形電路。由此在測量的時候,首先通過整形電路將正弦波轉(zhuǎn)化成方波并且對信號進(jìn)行放大。在整形之前由于不清楚被測信號的強(qiáng)弱的情況。所以在通過整形之前通過放大衰減處理。當(dāng)輸入信號電壓幅度較大時,通過輸入衰減電路將電壓幅度降低。當(dāng)輸入信號電壓幅度較小時,前級輸入衰減為零時若不能驅(qū)動后面的整形電路,則通過調(diào)節(jié) R14 進(jìn)行調(diào)節(jié)輸入放大的增益,使得被測信號得以放大。本電路采用 LM358 進(jìn)行放大整形。LM358 包含兩個獨(dú)立的高增益和內(nèi)部補(bǔ)償運(yùn)算放大器。 這兩個運(yùn)算放大器工作在單電源。該器件具有低電源供電,低功耗,內(nèi)部單位增益頻率補(bǔ)償。其中單元 2 用于構(gòu)成負(fù)反饋

29、放大電路對信號進(jìn)行放大(其中若用正反饋放大信號有可能致使電路工作不- 16 -穩(wěn)定) ,同時單元 1 構(gòu)成一個過的電壓比較器,對波形進(jìn)行整形。電路如ccV51圖 5-4 所示。6 軟件設(shè)計軟件設(shè)計6.1 軟件實(shí)現(xiàn)原理軟件實(shí)現(xiàn)原理測頻軟件的實(shí)現(xiàn)是基于電路系統(tǒng)來進(jìn)行設(shè)計的。本次設(shè)計以單片機(jī)AT89S52為核心控制LED顯示,采用的是脈沖定時和計數(shù)測頻,所以在軟件實(shí)現(xiàn)上基本遵照系統(tǒng)的設(shè)計原理,進(jìn)行測頻。本次軟件設(shè)計語言采用C語言,在電腦上編譯通過后即可下載到電路上的實(shí)際電路中,即可實(shí)現(xiàn)頻率的測量。6.2 軟件流程圖軟件流程圖- 17 -圖6-1主程序流程開始程序初始化LED 顯示有無信號輸入入顯示頻

30、率值YY測試頻率7 系統(tǒng)調(diào)試系統(tǒng)調(diào)試(1)調(diào)試工具:示波器5V 直流電源頻率計(2)調(diào)試環(huán)境:地點(diǎn):實(shí)驗(yàn)室室溫:20 (3)測試過程: 當(dāng)我們把硬件焊接等工序完成之后便開始進(jìn)行測試,首先是測試了單片機(jī)- 18 -晶振是否起振,結(jié)果沒有起振,經(jīng)檢查是電路沒有連接好,重新焊接再進(jìn)行測試,最終晶振起振。接通電源和輸入信號進(jìn)行檢測,有信號輸出,硬件測試完畢,沒有問題。然后我們把我們編寫的程序?qū)懭雴纹瑱C(jī),將單片機(jī)接入電路進(jìn)行測試,通電之后有關(guān)單片機(jī)的所有部分都沒有工作,然后在老師的幫助下將整個程序進(jìn)行修改,調(diào)試。當(dāng)最終程序確定下來之后,我們便進(jìn)行最后的測試,我們把電路板與輸入信號相連接,通電,此時數(shù)碼管

31、顯示的數(shù)字比較混亂,詢問老師,得知是因?yàn)椴粩嗟剡M(jìn)入中斷,導(dǎo)致結(jié)果不能正確顯示,經(jīng)過老師的指導(dǎo)與修改,最后通電測試,測試結(jié)果與預(yù)計結(jié)果基本相符合,調(diào)試結(jié)束。8 總結(jié)總結(jié)通過這次單片機(jī)課程設(shè)計,我們懂得了理論與實(shí)際相結(jié)合的重要性,只有在實(shí)踐中利用自己的理論知識,才能更好地掌握專業(yè)知識。只有理論知識是遠(yuǎn)遠(yuǎn)不夠的,理論知識要在實(shí)踐中解決問題才能發(fā)揮出其重要性,在這次設(shè)計過程中提高我們的動手能力和獨(dú)立思考的能力。同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學(xué)過的知識理解得不夠深刻,掌握得不夠牢固,對單片機(jī)硬件及 C 語言掌握得不夠好。在這次的課程設(shè)計過程中,我們遇到了很多的問題。首先是原理圖畫的過程

32、中,放大整形電路沒有設(shè)計好,在薛院長的細(xì)心指導(dǎo)和幫助下我們一次一次修改原理圖,修改布線,最終硬件得以成功完成。在軟件調(diào)試過程中,遇到了很多困難,在老師及同學(xué)的幫助下,最終程序調(diào)試成功。在這個過程中,我們進(jìn)一步了解了 C 語言編程,還學(xué)會了如何下載程序到單片機(jī)中。通過這次課程設(shè)計,我們增強(qiáng)了對單片機(jī)和 C 語言的理解,進(jìn)一步提高分析解決實(shí)際問題的能力,培養(yǎng)了自己獨(dú)立思考,獨(dú)立動手的能力。還學(xué)會了解決程序編寫問題的實(shí)際本領(lǐng),真正實(shí)現(xiàn)由課本知識向?qū)嶋H能力的轉(zhuǎn)化;通過典型程序的設(shè)計與制作,加深對基本原理的了解,增強(qiáng)了實(shí)踐能力。9 致謝致謝感謝學(xué)院精心制作這樣的課題來鍛煉我們,在這里我們也要對我們的指導(dǎo)

33、老師表示深深的感謝。在測試的這段時間,他們總是在實(shí)驗(yàn)室忙碌到很晚。在- 19 -設(shè)計過程中,我們得到了老師們精心的指導(dǎo)和無私的幫助,他們專業(yè)的知識和科學(xué)、嚴(yán)謹(jǐn)?shù)膶I(yè)精神令我們敬佩不已,也讓我們受益匪淺。同時,我們要感謝教給我們專業(yè)知識的老師們,謝謝你們!10 參考文獻(xiàn)參考文獻(xiàn)1 康華光等編.電子技術(shù)基礎(chǔ)(模擬部分).高等教育出版社20052 李雷等編.電子技術(shù)應(yīng)用實(shí)驗(yàn)教程.電子科技大學(xué)出版社,20063 唐穎等編 單片機(jī)原理與應(yīng)用及C51程序設(shè)計.北京大學(xué)出版社2008 4 李華等編.MCS-51 系列單片機(jī)實(shí)用接口技術(shù).北京航空航天大學(xué)出版社19932011 附錄附錄附錄一:整體系統(tǒng)原理圖1

34、234ABCD4321DCBATitleNumberRevisionSizeA4Date:23-May-2013Sheet of File:C:Documents and SettingsAdministrator分分分分分.ddbDrawn By:CLK13Q011Q110Q29Q38MR12U4BCLK1Q03Q14Q25Q36MR2U4AY1C1C2R1R2C3S1VCCVCCa11b7c4d2e1g5dp3f1012986L1a11b7c4d2e1g5dp3f1012986L2VCCabcdddeefefggdpdpg16分分dp256分分abc1fp1fp16fp16fp256fp2

35、56fpD2D1R3VCCabcCOMMANDCOMMANDA1B2C3E14E25E36Y015Y114Y213Y312Y411Y510Y69Y77U7RSTRST01234567111213U1D8910U1Cf456U1B123U1A910118U3C12J1VCCRSTP15P16P17P15P16P17101112131415161701234567101112131415161712345678910Y2INPUTINPUT121312U3A74ALS103456U3B74ALS10P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78RST9RXD/P3

36、.010TXD/P3.111INT0/P3.212INT1/P3.313T0/P3.414T1/P3.515WR/P3.616RD/P3.717XTAL 218XTAL 119GND20P2.021P2.122P2.223P2.324P2.425P2.526P2.627P2.728PSEN29ALE30EA/VPP31P0.732P0.633P0.534P0.435P0.336P0.237P0.138P0.039VCC40U5AT89S52OE1LE11D02D13D24D35D46D57D68D79Q019Q118Q217Q316Q415Q514Q613Q712U674HC57312J2VC

37、C123456789R12470*8附錄二:pcb 圖21附錄三:元件清單Part TypeDesignator Footprint1KR3 AXIAL0.310kR2 AXIAL0.310uC3 RB.1/.212MHZY1 JINGZ30pC2 CAP0.230pC1 CAP0.274ALS10U3 DIP1474HC00U1 DIP1474HC138U7 DIP1674HC393U4 DIP1474HC573U6 DIP20200R1 AXIAL0.3470*8R12 SIP9AT89S52U5 DIP40ISPY2 ISP10SR20302L2 LED4SR20302L1 LED422

38、SW-PBS1 DIP4附錄四:源程序#include #define uint unsigned int#define uchar unsigned charuchar table=0 x3f,0 x06,0 x5b,0 x4f,0 x66,0 x6d,0 x7d,0 x07,0 x7f,0 x6f; /字符段碼表uchar table138=0,128,64,192,32,160,96,224;unsigned long int x,count0,count1,disp_x=0;unsigned char x0=0;unsigned char led8;sbit qingl=P30;/為定

39、義sbit fp_1=P31;sbit fp_16=P33;sbit fp_256=P32;sbit shuru=P36;void delay(uint t) /延時 while(t-); void shuju_chuli() led0=x/10000000;led1=(x%10000000)/1000000;led2=(x%1000000)/100000;led3=(x%100000)/10000;led4=(x%10000)/1000; led5=(x%1000)/100;led6=(x%100)/10; led7=(x%10); void disply(int j) while(j-)

40、/循環(huán)掃描 int k=1; uchar i=0,m=0;/ if(disp_x=10000000)m=0;/ else 23if(disp_x=1000000)m=1;/ else if(disp_x=100000)m=2;/ else if(disp_x=10000)m=3;/ else if(disp_x=1000)m=4;/ else if(disp_x=100)m=5;/ else if(disp_x=10)m=6;/ else m=7; for(i=m;i8;i+) k=k+ledi; /消零 delay(200); if(k) P2=table138i; P0=tableledi; else if(i=7) /全 0 時最后一位顯示 0 P2=table1384; P0=0 x3f; void t1_and_t2chushihua() /to,t1 初始化 count0=0; count1=0; TMOD=0 x51;

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論