數(shù)字電路-交通燈控制器-實(shí)驗(yàn)報(bào)告_第1頁(yè)
數(shù)字電路-交通燈控制器-實(shí)驗(yàn)報(bào)告_第2頁(yè)
數(shù)字電路-交通燈控制器-實(shí)驗(yàn)報(bào)告_第3頁(yè)
數(shù)字電路-交通燈控制器-實(shí)驗(yàn)報(bào)告_第4頁(yè)
數(shù)字電路-交通燈控制器-實(shí)驗(yàn)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩12頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、數(shù)字系統(tǒng)與邏輯設(shè)計(jì)實(shí)驗(yàn)-課題1.4.2:交通燈控制器課題1.4.2:交通燈控制器一設(shè)計(jì)課題的任務(wù)要求:(一)、實(shí)驗(yàn)?zāi)康?. 熟練掌握 VHDL 語(yǔ)言和QuartusII 軟件的使用;2. 理解狀態(tài)機(jī)的工作原理和設(shè)計(jì)方法;(二)、相關(guān)知識(shí)本實(shí)驗(yàn)要利用 CPLD 設(shè)計(jì)實(shí)現(xiàn)一個(gè)十字路口的交通燈控制系統(tǒng),與其他控制系統(tǒng)一樣,本系統(tǒng)劃分為控制器和受控電路兩部分。控制器使整個(gè)系統(tǒng)按設(shè)定的工作方式交替指揮車輛及行人的通行,并接收受控部分的反饋信號(hào),決定其狀態(tài)轉(zhuǎn)換方向及輸出信號(hào),控制整個(gè)系統(tǒng)的工作過程。路口交通燈控制系統(tǒng)的有東西路和南北路交通燈 R(紅)、Y(黃)、G(綠)三色,所有燈均為高電平點(diǎn)亮。設(shè)置20

2、s 的通行時(shí)間和5s 轉(zhuǎn)換時(shí)間的變模定時(shí)電路,用數(shù)碼管顯示剩余時(shí)間。提供系統(tǒng)正常工作/復(fù)位和緊急情況兩種工作模式。(三)、實(shí)驗(yàn)任務(wù)1.基本任務(wù):設(shè)計(jì)制作一個(gè)用于十字路口的交通燈控制器。1). 南北和東西方向各有一組綠、黃、紅燈用于指揮交通,綠燈、黃燈和紅燈的持續(xù)時(shí)間分別為20 秒、5 秒和25 秒;2). 當(dāng)有特殊情況(如消防車、救護(hù)車等)時(shí),兩個(gè)方向均為紅燈亮,計(jì)時(shí)停止,當(dāng)特殊情況結(jié)束后,控制器恢復(fù)原來狀態(tài),繼續(xù)正常運(yùn)行;3). 用數(shù)碼管,以倒計(jì)時(shí)方式顯示兩個(gè)方向允許通行或禁止通行的時(shí)間;二系統(tǒng)設(shè)計(jì)(包括設(shè)計(jì)思路、總體框圖、分塊設(shè)計(jì))(一)設(shè)計(jì)思路1.總體設(shè)計(jì)-輸入部分:1) CLK時(shí)鐘頻率

3、輸入,可由實(shí)驗(yàn)板上直接提供,為準(zhǔn)確確定時(shí)間長(zhǎng)度,選擇1024Hz信號(hào)。2) 緊急狀態(tài)按鍵撥碼開關(guān)EMERGENCY,當(dāng)將其置為高電平,表示緊急情況發(fā)生,兩個(gè)方向均為紅燈亮,計(jì)時(shí)停止;當(dāng)置其為低電平,信號(hào)燈和計(jì)時(shí)器恢復(fù)原來狀態(tài),正常工作。3) 復(fù)位撥碼開關(guān)RESET,當(dāng)將其置為高電平,表示復(fù)位,工作停止,全部回到初始狀態(tài);當(dāng)置其為低電平,重新開始工作。2.總體設(shè)計(jì)-輸出部分:1) 東西方向和南北方向各使用3個(gè)LED顯示,LIGHT1,LIGHT2,紅黃綠各代表紅黃綠燈。2) 東西方向和南北方向計(jì)時(shí)均為2位數(shù),共需要4個(gè)LED七段數(shù)碼管顯示。(二)總體框圖1.輸入輸出示意圖 Light1Clk L

4、ight2Emergency NumReset B_out東西向交通燈南北向交通燈數(shù)碼管顯示輸出控制數(shù)碼管顯示時(shí)鐘(1024hz)開關(guān)1開關(guān)22.模塊示意圖譯碼器時(shí)鐘時(shí)間計(jì)數(shù)器東西燈南北燈控制器分頻器復(fù)位倒計(jì)時(shí)顯示緊急請(qǐng)求3.流程圖Yes南北綠,東西紅是否綠燈時(shí)間到20s?對(duì)黃燈開始計(jì)時(shí)南北黃,東西紅是否黃燈時(shí)間到5s?對(duì)綠燈開始計(jì)時(shí)南北紅,東西綠是否綠燈時(shí)間到20s?對(duì)黃燈開始計(jì)時(shí)南北紅,東西黃是否黃燈時(shí)間到5s?對(duì)綠燈開始計(jì)時(shí)YesyesyesNOnoNOno啟動(dòng)/復(fù)位4.RTL圖5.狀態(tài)顯示紅綠燈顯示狀態(tài)狀態(tài)S0南北綠燈亮,東西紅燈亮S1南北黃燈亮,東西紅燈亮S2南北紅燈亮,東西綠燈亮S

5、3南北紅燈亮,東西黃燈亮S4南北東西紅燈都亮(三)分塊設(shè)計(jì) -在VHDL設(shè)計(jì)中,采用自頂向下的設(shè)計(jì)思路。1.頂層模塊中,根據(jù)硬件設(shè)計(jì),設(shè)置如下端口:u 外部時(shí)鐘信號(hào):CLKu 緊急狀態(tài)按鍵:EMERGENCYu 南北方向狀態(tài)燈:LIGHT1u 東西方向狀態(tài)燈:LIGHT2u 數(shù)碼管顯示信號(hào):NUMu 控制數(shù)碼管:B_OUT2.在底層中,把不同功能分模塊設(shè)計(jì)。u FREQUENCY模塊,由于外部時(shí)鐘信號(hào)CLK的頻率為1024Hz,而實(shí)際需要的內(nèi)部計(jì)時(shí)時(shí)鐘頻率為1Hz,需要一個(gè)分頻電路。輸入端口:CLK外部時(shí)鐘信號(hào)輸出端口:CLK_OUT分頻后信號(hào)u COUNTER模塊,由于整個(gè)過程的顯示周期為5

6、0秒,即50個(gè)狀態(tài),所以該模塊為計(jì)數(shù)器模塊,計(jì)數(shù)周期為50,輸入為1Hz的外部時(shí)鐘,并加入緊急信號(hào)和復(fù)位信號(hào),當(dāng)輸入緊急信號(hào),計(jì)數(shù)停止,當(dāng)輸入復(fù)位信號(hào),計(jì)數(shù)置1.通過該計(jì)數(shù)器的計(jì)數(shù)輸出信號(hào)來控制LIGHT模塊和COUNTDOWN模塊的狀態(tài)。輸入端口:CLK時(shí)鐘信號(hào),EMERGENCY緊急信號(hào),RESET復(fù)位信號(hào)輸出端口:COUNTER計(jì)數(shù)狀態(tài)信號(hào)u COUNTDOWN模塊,倒計(jì)時(shí)顯示模塊,通過輸入的COUNTER和CLK來控制數(shù)碼管共陰極和7段數(shù)碼管數(shù)字顯示控制。輸入接口:CLK時(shí)鐘信號(hào),COUNT計(jì)數(shù)器信號(hào)輸出接口:CAT_TEMP共陰極控制,NUMIN數(shù)字輸出u bian_ma_6模塊:將

7、輸入接口的CAT_TEMP共陰極控制轉(zhuǎn)換為三八 譯碼器的輸入端。輸入端口:CAT_TEMP共陰極控制輸出端口:b_out;u SHOW模塊,接收數(shù)字信號(hào),進(jìn)行7位數(shù)碼管顯示譯碼輸出。輸入接口:NUMIN輸入信號(hào)輸出接口:NUM譯碼輸出u LIGHT信號(hào)燈控制模塊,使用狀態(tài)機(jī),雙進(jìn)程控制5個(gè)狀態(tài)輸入接口:COUNT計(jì)數(shù)器信號(hào),EMERGENCY緊急狀態(tài)控制輸出接口:LIGHT1,LIGHT2信號(hào)燈輸出三仿真波形及波形分析仿真波形1狀態(tài)周期為150,在每個(gè)狀態(tài)內(nèi),數(shù)碼管共陰極進(jìn)行掃描,且掃描同時(shí)數(shù)碼管顯示進(jìn)行循環(huán)變化2周期狀態(tài),在120,2125,2645,4650進(jìn)行紅綠燈顯示變化3.一整個(gè)周期

8、狀態(tài)150,在120,2125,2645,4650進(jìn)行紅綠燈顯示變化4.多個(gè)周期循環(huán)5.緊急狀態(tài)和復(fù)位狀態(tài)四源程序(注釋)l FREQUENCY-分頻模塊:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FREQUENCY ISPORT(CLK:IN STD_LOGIC;CLK_OUT:OUT STD_LOGIC);END FREQUENCY;ARCHITECTURE FREQ OF FREQUENCY ISSIGNAL TEMP:INTEGER RANGE 0 TO 1023;-設(shè)

9、置分頻1023,則為1HzBEGINPROCESS(CLK)BEGINIF(CLK'EVENT AND CLK='1')THENIF(TEMP=1023)THENTEMP<=0;ELSETEMP<=TEMP+1;END IF;IF TEMP<1023 THEN CLK_OUT<='0' ELSE CLK_OUT<='1' END IF; END IF;END PROCESS;END;l COUNTER.vhd-計(jì)數(shù)器模塊(主要控制模塊),用外部時(shí)鐘設(shè)置了50個(gè)狀態(tài)的一個(gè)周期150 -由于該交通燈的狀態(tài)為50種

10、,數(shù)碼管有50種顯示狀態(tài) -完全通過控制計(jì)數(shù)器的停止和復(fù)位來控制閃燈和數(shù)碼管LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY COUNTER ISPORT(CLK:IN STD_LOGIC;-外部時(shí)鐘輸入RESET:IN STD_LOGIC;-復(fù)位信號(hào)輸入EMERGENCY:IN STD_LOGIC;-緊急信號(hào)輸入COUNTER:OUT STD_LOGIC_VECTOR (5 DOWNTO 0):="000001&qu

11、ot;-計(jì)數(shù)信號(hào)輸出);END COUNTER;ARCHITECTURE CON OF COUNTER ISSIGNAL TEMPCOUNT:INTEGER RANGE 1 TO 50:=1;-定義信號(hào)計(jì)數(shù),初始狀態(tài)為1BEGINPROCESS(CLK,RESET,EMERGENCY)BEGIN IF CLK'EVENT AND CLK='1' THEN-外部時(shí)鐘,1Hz IF RESET='1' THEN-復(fù)位狀態(tài) TEMPCOUNT<=1;-計(jì)數(shù)器回到初始狀態(tài)1 ELSIF TEMPCOUNT=50 THEN TEMPCOUNT<=1;-

12、計(jì)數(shù)器計(jì)數(shù)狀態(tài),加1 ELSE TEMPCOUNT<=TEMPCOUNT+1; END IF; ELSIF EMERGENCY='1' THEN-當(dāng)緊急信號(hào)為高電平,進(jìn)入緊急狀態(tài) TEMPCOUNT<=TEMPCOUNT;-計(jì)數(shù)器停止計(jì)數(shù) END IF; END PROCESS;COUNTER<=CONV_STD_LOGIC_VECTOR(TEMPCOUNT,6);-內(nèi)部信號(hào)轉(zhuǎn)化為輸出END CON;l LIGHT.vhd-交通燈顯示信號(hào)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UN

13、SIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY LIGHT IS PORT( EMERGENCY:IN STD_LOGIC;-緊急信號(hào)輸入,控制緊急狀態(tài) COUNT:IN STD_LOGIC_VECTOR(5 DOWNTO 0);-計(jì)數(shù)器輸入,控制其它正常狀態(tài) LIGHT1,LIGHT2:OUT STD_LOGIC_VECTOR(2 DOWNTO 0)-交通燈信號(hào)輸出 );END LIGHT;ARCHITECTURE LIGHTSHOW OF LIGHT ISTYPE STATES IS-設(shè)置狀態(tài)機(jī),控制狀態(tài)(S0,S1,S2,S3,S4);SIG

14、NAL STATE:STATES;SIGNAL TEMPCOUNT:INTEGER RANGE 1 TO 50;BEGINP1:PROCESS(COUNT,EMERGENCY)BEGINTEMPCOUNT<=CONV_INTEGER(COUNT);-將二進(jìn)制輸入轉(zhuǎn)化為十進(jìn)制計(jì)數(shù)信號(hào) IF EMERGENCY='1' THEN-EMERGENCY為高電平時(shí)為緊急狀態(tài) STATE<=S4; ELSE CASE TEMPCOUNT IS-由計(jì)數(shù)器控制狀態(tài)持續(xù)時(shí)間 WHEN 1 TO 20 => STATE<=S0;-1秒到20秒 WHEN 21 TO 25 =

15、> STATE<=S1;-21秒到25秒 WHEN 26 TO 45 => STATE<=S2;-26秒到45秒 WHEN 46 TO 50 => STATE<=S3;-46秒到50秒 END CASE; END IF;END PROCESS P1;P2:PROCESS(STATE)-狀態(tài)機(jī)BEGIN CASE STATE IS WHEN S0 =>LIGHT1<="001"-南北綠燈 LIGHT2<="100"-東西紅燈 WHEN S1 =>LIGHT1<="010"

16、-南北黃燈 LIGHT2<="100"-東西紅燈 WHEN S2 =>LIGHT1<="100"-南北紅燈 LIGHT2<="001"-東西綠燈 WHEN S3 =>LIGHT1<="100"-南北紅燈 LIGHT2<="010"-東西黃燈 WHEN S4 =>LIGHT1<="100"-南北紅燈 LIGHT2<="100"-東西紅燈 END CASE;END PROCESS;END LIGHTS

17、HOW;l COUNTDOWN.vhd-7段數(shù)碼管倒計(jì)時(shí)控制模塊LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY COUNTDOWN IS PORT( COUNT:IN STD_LOGIC_VECTOR(5 DOWNTO 0);-計(jì)數(shù)器輸入信號(hào) CLK:IN STD_LOGIC;-時(shí)鐘信號(hào) NUMIN:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-輸出7段數(shù)碼管顯示數(shù)字信號(hào),輸出到譯碼模塊 CAT_TEMP

18、:OUT STD_LOGIC_VECTOR(5 DOWNTO 0);-7端數(shù)碼管共陰極輸出控制信號(hào)END COUNTDOWN;ARCHITECTURE COUNTSHOW OF COUNTDOWN ISSIGNAL TEMP:INTEGER RANGE 0 TO 3;SIGNAL COUNTER:INTEGER RANGE 1 TO 50;SIGNAL CAT:STD_LOGIC_VECTOR(5 DOWNTO 0):="011111"SIGNAL NUM_IN:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINP1:PROCESS(CLK)-內(nèi)部時(shí)鐘,控

19、制7段數(shù)碼管顯示掃描內(nèi)容BEGIN COUNTER<=CONV_INTEGER(COUNT);IF(CLK'EVENT AND CLK='1')THENIF(TEMP=3)THENTEMP<=0;ELSETEMP<=TEMP+1;END IF;END IF;END PROCESS P1;P2:PROCESS(COUNTER,TEMP) BEGIN COUNTER<=CONV_INTEGER(COUNT); CASE COUNTER IS-通過計(jì)數(shù)器輸入信號(hào)控制狀態(tài) WHEN 1=>CASE TEMP IS-在每個(gè)狀態(tài)內(nèi)部設(shè)置數(shù)碼管掃描過程,

20、由于COUNTER和-掃描時(shí)鐘不同 -則可在數(shù)碼管上同時(shí)顯示4個(gè)數(shù)字,以狀態(tài)1-(COUNTER=1)為例 -顯示“1924”,并在共陰極上掃描,以下同 -共標(biāo)記50種狀態(tài) WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="1001"CAT<="101111" WHEN 2=>NUM_IN<="0010"CAT<="111101" WHEN 3=>NUM_IN&

21、lt;="0100"CAT<="111110" END CASE; WHEN 2=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="1000"CAT<="101111" WHEN 2=>NUM_IN<="0010"CAT<="111101" WHEN 3=>NUM_IN<=&q

22、uot;0011"CAT<="111110" END CASE; WHEN 3=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="0111"CAT<="101111" WHEN 2=>NUM_IN<="0010"CAT<="111101" WHEN 3=>NUM_IN<="00

23、10"CAT<="111110" END CASE; WHEN 4=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="0110"CAT<="101111" WHEN 2=>NUM_IN<="0010"CAT<="111101" WHEN 3=>NUM_IN<="0001&quo

24、t;CAT<="111110" END CASE; WHEN 5=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="0101"CAT<="101111" WHEN 2=>NUM_IN<="0010"CAT<="111101" WHEN 3=>NUM_IN<="0000"CAT&

25、lt;="111110" END CASE; WHEN 6=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="0100"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="1001"CAT<=&q

26、uot;111110" END CASE; WHEN 7=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="0011"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="1000"CAT<="11

27、1110" END CASE; WHEN 8=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="0010"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="0111"CAT<="111110&q

28、uot; END CASE; WHEN 9=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="0001"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="0110"CAT<="111110" E

29、ND CASE; WHEN 10=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="011111" WHEN 1=>NUM_IN<="0000"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="0101"CAT<="111110" END CA

30、SE; WHEN 11=>CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="011111" WHEN 1=>NUM_IN<="1001"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="0100"CAT<="111110" END CASE; W

31、HEN 12=>CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="011111" WHEN 1=>NUM_IN<="1000"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="0011"CAT<="111110" END CASE; WHEN 1

32、3=>CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="011111" WHEN 1=>NUM_IN<="0111"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="0010"CAT<="111110" END CASE; WHEN 14=>

33、;CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="011111" WHEN 1=>NUM_IN<="0110"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="0001"CAT<="111110" END CASE; WHEN 15=>CASE

34、 TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="011111" WHEN 1=>NUM_IN<="0101"CAT<="101111" WHEN 2=>NUM_IN<="0001"CAT<="111101" WHEN 3=>NUM_IN<="0000"CAT<="111110" END CASE; WHEN 16=>CASE TEMP

35、 IS WHEN 0=>NUM_IN<="0000"CAT<="011111" WHEN 1=>NUM_IN<="0100"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="1001"CAT<="111110" END CASE; WHEN 17=>CASE TEMP IS W

36、HEN 0=>NUM_IN<="0000"CAT<="011111" WHEN 1=>NUM_IN<="0011"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="1000"CAT<="111110" END CASE; WHEN 18=>CASE TEMP IS WHEN 0

37、=>NUM_IN<="0000"CAT<="011111" WHEN 1=>NUM_IN<="0010"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="0111"CAT<="111110" END CASE; WHEN 19=>CASE TEMP IS WHEN 0=>

38、NUM_IN<="0000"CAT<="011111" WHEN 1=>NUM_IN<="0001"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="0110"CAT<="111110" END CASE; WHEN 20=>CASE TEMP IS WHEN 0=>NUM_I

39、N<="0000"CAT<="011111" WHEN 1=>NUM_IN<="0000"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="0101"CAT<="111110" END CASE; WHEN 21=>CASE TEMP IS WHEN 0=>NUM_IN<

40、="0000"CAT<="011111" WHEN 1=>NUM_IN<="0100"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="0100"CAT<="111110" END CASE; WHEN 22=>CASE TEMP IS WHEN 0=>NUM_IN<=&quo

41、t;0000"CAT<="011111" WHEN 1=>NUM_IN<="0011"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="0011"CAT<="111110" END CASE; WHEN 23=>CASE TEMP IS WHEN 0=>NUM_IN<="000

42、0"CAT<="011111" WHEN 1=>NUM_IN<="0010"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="0010"CAT<="111110" END CASE; WHEN 24=>CASE TEMP IS WHEN 0=>NUM_IN<="0000&quo

43、t;CAT<="011111" WHEN 1=>NUM_IN<="0001"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="0001"CAT<="111110" END CASE; WHEN 25=>CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT

44、<="011111" WHEN 1=>NUM_IN<="0000"CAT<="101111" WHEN 2=>NUM_IN<="0000"CAT<="111101" WHEN 3=>NUM_IN<="0000"CAT<="111110" END CASE; WHEN 26=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<=

45、"111101" WHEN 1=>NUM_IN<="1001"CAT<="111110" WHEN 2=>NUM_IN<="0010"CAT<="011111" WHEN 3=>NUM_IN<="0100"CAT<="101111" END CASE; WHEN 27=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="

46、;111101" WHEN 1=>NUM_IN<="1000"CAT<="111110" WHEN 2=>NUM_IN<="0010"CAT<="011111" WHEN 3=>NUM_IN<="0011"CAT<="101111" END CASE; WHEN 28=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="1111

47、01" WHEN 1=>NUM_IN<="0111"CAT<="111110" WHEN 2=>NUM_IN<="0010"CAT<="011111" WHEN 3=>NUM_IN<="0010"CAT<="101111" END CASE; WHEN 29=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="111101&qu

48、ot; WHEN 1=>NUM_IN<="0110"CAT<="111110" WHEN 2=>NUM_IN<="0010"CAT<="011111" WHEN 3=>NUM_IN<="0001"CAT<="101110" END CASE; WHEN 30=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="111101" W

49、HEN 1=>NUM_IN<="0101"CAT<="111110" WHEN 2=>NUM_IN<="0010"CAT<="011111" WHEN 3=>NUM_IN<="0000"CAT<="101111" END CASE; WHEN 31=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="111101" WHEN 1

50、=>NUM_IN<="0100"CAT<="111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="1001"CAT<="101111" END CASE; WHEN 32=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="111101" WHEN 1=>

51、NUM_IN<="0011"CAT<="111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="1000"CAT<="101111" END CASE; WHEN 33=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="111101" WHEN 1=>NUM_I

52、N<="0010"CAT<="111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="0111"CAT<="101111" END CASE; WHEN 34=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="111101" WHEN 1=>NUM_IN<

53、="0001"CAT<="111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="0110"CAT<="101111" END CASE; WHEN 35=>CASE TEMP IS WHEN 0=>NUM_IN<="0001"CAT<="111101" WHEN 1=>NUM_IN<=&quo

54、t;0000"CAT<="111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="0101"CAT<="101111" END CASE; WHEN 36=>CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="111101" WHEN 1=>NUM_IN<="100

55、1"CAT<="111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="0100"CAT<="101111" END CASE; WHEN 37=>CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="111101" WHEN 1=>NUM_IN<="1000&quo

56、t;CAT<="111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="0011"CAT<="101111" END CASE; WHEN 38=>CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="111101" WHEN 1=>NUM_IN<="0111"CAT

57、<="111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="0010"CAT<="101111" END CASE; WHEN 39=>CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="111101" WHEN 1=>NUM_IN<="0110"CAT<=

58、"111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="0001"CAT<="101111" END CASE; WHEN 40=>CASE TEMP IS WHEN 0=>NUM_IN<="0000"CAT<="111101" WHEN 1=>NUM_IN<="0101"CAT<="111110" WHEN 2=>NUM_IN<="0001"CAT<="011111" WHEN 3=>NUM_IN<="0000"CAT<="101111" END CASE; WHEN 41=>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論