利用QuartusⅡ完成三位數(shù)碼管顯示電路_第1頁(yè)
利用QuartusⅡ完成三位數(shù)碼管顯示電路_第2頁(yè)
利用QuartusⅡ完成三位數(shù)碼管顯示電路_第3頁(yè)
免費(fèi)預(yù)覽已結(jié)束,剩余1頁(yè)可下載查看

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、學(xué)號(hào):姓名:實(shí)驗(yàn)名稱:利用Quartus n元成二位數(shù)碼管顯示電 路總分:實(shí)驗(yàn)日期:2013 年 10月16日、實(shí)驗(yàn)?zāi)康?熟練使用Quartus H軟件,并利用Quartus H完成三位數(shù)碼管顯示電路的邏輯設(shè)計(jì), 通過(guò)仿真波形及硬件實(shí)驗(yàn)平臺(tái)驗(yàn)證設(shè)計(jì),并記錄結(jié)果,完成報(bào)告。二、實(shí)驗(yàn)內(nèi)容:用邏輯圖和所學(xué)知識(shí)分組進(jìn)行數(shù)碼管掃描電路的模塊化設(shè)計(jì),通過(guò)波形仿真及硬件實(shí)驗(yàn)箱驗(yàn)證設(shè)計(jì),并記錄結(jié)果,完成報(bào)告。三、實(shí)驗(yàn)原理:實(shí)驗(yàn)原理:采用基于FPGA進(jìn)行數(shù)字邏輯電路設(shè)計(jì)的方法采用的軟件工具是QuartusII 軟件仿真平臺(tái),采用的硬件平臺(tái)是AlteraEPF10K20Tl144_4 的 FPGA試驗(yàn)箱。頂層電路

2、的連接:實(shí)驗(yàn)步驟:1 、繪制邏輯圖。打開(kāi) QuartusII軟件平臺(tái),點(diǎn)擊File中得New建立一個(gè)文件。編寫(xiě)的文件名與實(shí)體名一致,點(diǎn)擊File/Save as 以“ .vhd為擴(kuò)展名存盤(pán)文件。2、按照實(shí)驗(yàn)箱上FPGA勺芯片名更改編程芯片的設(shè)置。操作是點(diǎn)擊Assign/Device,選取芯片的類(lèi)型。3、編譯與調(diào)試。確定邏輯圖文件為當(dāng)前工程文件,點(diǎn)擊Complier進(jìn)行文件編譯。編譯結(jié)果有錯(cuò)誤或警告,則將要調(diào)試修改直至文件編譯成功。4、波形仿真及驗(yàn)證。在編譯成功后,點(diǎn)擊Waveform開(kāi)始設(shè)計(jì)波形。5、時(shí)序仿真。(具體過(guò)程,操作細(xì)節(jié)以及實(shí)驗(yàn)過(guò)程截圖見(jiàn)下面具體操作,都有說(shuō)明。)6、完成各模塊的設(shè)計(jì)

3、后,用圖形法實(shí)現(xiàn)頂層設(shè)計(jì),然后進(jìn)行編譯,仿真,和FPGA芯片編程及下載驗(yàn)證。四、實(shí)驗(yàn)過(guò)程:一)、模 4 計(jì)數(shù)器的設(shè)計(jì)1、編譯過(guò)程(可先繪制邏輯圖再建工程,也可先建工程再建文件)a) 繪制邏輯圖。打開(kāi) Quartusll軟件平臺(tái),點(diǎn)擊file 中的New新建一個(gè)文件。彈出一 個(gè)窗口,選擇 Block Diagram 選項(xiàng); 新建文件,然后繪制實(shí)驗(yàn)要用到的邏輯圖,雙擊空白處,選擇要用到的器件并用適當(dāng)?shù)?線把各元件連接好并進(jìn)行命名,繪制的模 4 計(jì)數(shù)器的邏輯圖如下: 接著保存文件,點(diǎn)擊 File/Save as ,接著建一個(gè)工程,并保存。b)按照實(shí)驗(yàn)箱上FPGA的芯片名更改芯片的設(shè)置,選取芯片類(lèi)型。

4、設(shè)置如下圖所示:c)工程建好后,進(jìn)行編譯和調(diào)試。點(diǎn)擊Processing->Compilation Tool,進(jìn)行文件全編譯,全編譯的窗口如下:2、波形仿真a )波形仿真過(guò)程。點(diǎn)擊 file->new, 選擇 Vector Waveform File, 新建一個(gè)波形仿 真文件,然后在空白處點(diǎn)擊右鍵,選擇“ lnsert Node or Bus ”,出現(xiàn)一個(gè)對(duì)話框,進(jìn) 行添加節(jié)點(diǎn),過(guò)程如下圖所示 :然后輸入時(shí)鐘激勵(lì)信號(hào),并進(jìn)行參數(shù)設(shè)置,兩個(gè)重要的參數(shù) :End time 結(jié)束時(shí)間和 Grid size 網(wǎng)格大小。點(diǎn)擊 Edit->Value->Clock, 出現(xiàn)一個(gè)對(duì)話框

5、設(shè)置時(shí)鐘激勵(lì)周期, 相位以及其他參數(shù),點(diǎn)擊 OK顯示波形圖如下:接著保存波形文件,然后進(jìn)行仿真。在仿真之前要先生成功能仿真表,首先要先設(shè) 置仿真模式。然后點(diǎn)擊菜單項(xiàng) Processing->Generate Function Simulation Netlist,產(chǎn)生功能仿真所需要的網(wǎng)表。接著就能進(jìn)行仿真操作了。波形仿真:打開(kāi) processing 仿真工具,如下圖 出現(xiàn)仿真設(shè)置對(duì)話框,然后分別開(kāi)始進(jìn)行時(shí)序仿真和功能仿真。 這是功能仿真即波形仿真。3、完成仿真之后就進(jìn)行 Programming 芯片編程:a) 芯片編程過(guò)程: 芯片類(lèi)型的選定見(jiàn)實(shí)驗(yàn)過(guò)程第一步,在新建項(xiàng)目是已經(jīng)選定。選擇 A

6、ssignments >Pins, 設(shè)定引腳如下圖:然后選擇 Tools->programmer, 點(diǎn)擊 start 進(jìn)行下載,下載前再進(jìn)行一次全編譯,則程序已被下載到實(shí)驗(yàn)箱。整個(gè)實(shí)驗(yàn)操作到此基本結(jié)束。此后便是用實(shí)驗(yàn)箱驗(yàn)證該實(shí) 驗(yàn)的結(jié)果。結(jié)論:根據(jù)實(shí)驗(yàn)分析,可清楚的看到實(shí)驗(yàn)箱上的 led 燈有規(guī)律的亮 :00,01,10,11總結(jié):以上便是模 4計(jì)數(shù)器的設(shè)計(jì)過(guò)程,接著以相似的步驟可進(jìn)行3選 1多路選擇器和 2-3 譯碼器的設(shè)計(jì)。二者的邏輯功能如下:二) 、3選1多路選擇器的VHDL弋碼:library IEEE;entity mux4_3_1 isport(d0,d1,d2:in

7、STD_LOGIC_VECTOR(3 downto 0);sel:in STD_LOGIC_VECTOR(1 downto 0);dout:out STD_LOGIC_VECTOR(3 downto 0);end mux4_3_1;architecture rtl of mux4_3_1 isbegindout<= d0 when sel="00" elsed1 when sel="01" elsed2;end rtl;三) 、 2-3 譯碼器的設(shè)計(jì) :邏輯框圖如下:四) 完成以上的設(shè)計(jì)后,接著可進(jìn)行模塊化層次設(shè)計(jì):1)圖形法實(shí)現(xiàn)頂層設(shè)計(jì)(1) 創(chuàng)

8、建工程文件。新建工程所在的文件夾名稱為 scan_led3 ,工程名稱為 scan_led3 ,頂層實(shí)體名稱 為 scan_led3 ,選擇的目標(biāo)器件為 EPF10K20TC144-3。(2) 創(chuàng)建子模塊的符號(hào)文件。將設(shè)計(jì)源文件 counter4.bdf 、mux4_3_1.vhd、 decoder2_3.bdf 復(fù)制到新工程所在 的文件夾中。打開(kāi) counter4.bdf ,選擇菜單 File 、Creat/Update 、Creat Symbol File for Current File 命令,彈出對(duì)話框,然后保存文件名 counter4.bsf ,完成該文件的符號(hào)創(chuàng)建,然 后分別打開(kāi)另

9、外兩個(gè)子文件,完成符號(hào)創(chuàng)建。(3)建立頂層 bdf 文件,放置元件。在空白原理圖編輯窗口雙擊, 彈出 symbol 對(duì)話框, 在 Libraries 欄中單擊 Project 目錄,分別選取各設(shè)計(jì)好的元件,單擊0K按鈕完成放置。(4)完成頂層電路的連接,如下圖所示:(5)管腳分配、編譯并除錯(cuò)。DDA-I 型實(shí)驗(yàn)平臺(tái)的管腳分配情況參考如下:clk : 125 din23.0:72 din13.0:82 din03.0:86 bsg2.0:100、 73、 78、 79、 83、 92、 95、 87、 88、 89、101、102qaqg:51 、 49、48、47、46、44、43(6)仿真驗(yàn)

10、證仿真方法參照前面實(shí)例所述, 仿真圖如下所示,電路能實(shí)現(xiàn)動(dòng)態(tài)掃描 3 位數(shù)碼管循環(huán)顯 示 1 、 2、 3實(shí)驗(yàn)平臺(tái)上可觀察 3 位數(shù)碼(7)編程配置及硬件測(cè)試 按照前面實(shí)例中所述方法對(duì)該設(shè)計(jì)進(jìn)行編程配置下載, 管的正確穩(wěn)定的顯示。五、功能驗(yàn)證波形圖(模 4 計(jì)數(shù)器與頂層設(shè)計(jì)各有一個(gè)) 仿真結(jié)論:波形仿真邏輯功能驗(yàn)證正確。六、硬件驗(yàn)證? 芯片分配:FLEX10K-EPF10K20TI144-4 (或 FLEX10K-EPF10K20TC144-3 )? 管腳分配:DDA-I 型實(shí)驗(yàn)平臺(tái)的管腳分配情況參考如下:clk:125din23.0:72、73、 78、79din13.0:82、83、92、

11、95din03.0:86、87、88、89bsg2.0:100、101、102qaqg:51 、49、48、47、46、 44、43硬件驗(yàn)證結(jié)論:1) 連到總線上的器件都必須是三態(tài)輸出, 且任何時(shí)候最多有一個(gè)器件向總線輸出數(shù)據(jù),其余器件輸出處于高阻狀態(tài),絕對(duì)避免總線上發(fā)生數(shù)據(jù)沖突。七、實(shí)驗(yàn)日志2013 年 10 月 08 日Q1. 出現(xiàn)警告:找不到節(jié)點(diǎn) q0,q1A1. 在畫(huà)模 4 計(jì)數(shù)器的電路圖時(shí),沒(méi)有選中線條就開(kāi)始命名,導(dǎo)致節(jié)點(diǎn)丟失2013 年 10 月 10 日Q1. 圖形輸入法時(shí)有哪些方法提高連線效率?A1. 對(duì)于輸入和輸出的同一組線和接口取個(gè)名字并編號(hào)。2013 年 10 月 12 日Q1. 圖形輸入法與硬件描述語(yǔ)言法優(yōu)缺點(diǎn)是什么?A1. 圖形輸入法: (優(yōu)點(diǎn))

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論