《數(shù)字邏輯》課程標(biāo)準(zhǔn)_第1頁
《數(shù)字邏輯》課程標(biāo)準(zhǔn)_第2頁
《數(shù)字邏輯》課程標(biāo)準(zhǔn)_第3頁
《數(shù)字邏輯》課程標(biāo)準(zhǔn)_第4頁
《數(shù)字邏輯》課程標(biāo)準(zhǔn)_第5頁
已閱讀5頁,還剩8頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、數(shù)字邏輯課程標(biāo)準(zhǔn)孫晶茹審閱學(xué)院:信息科學(xué)與工程學(xué)院)課程編號(hào):CS04013英文名稱:Digital logic預(yù)修課程:電路分析學(xué)時(shí)安排:80學(xué)時(shí),其中講授48時(shí),小班討論16學(xué)時(shí),課程實(shí)驗(yàn)和課程設(shè)計(jì)16學(xué)時(shí)。學(xué) 分: 3一、課程概述(一)課程性質(zhì)地位數(shù)字邏輯是一門電子信息類專業(yè)的核心課,是軟件工程專業(yè)本科生的必修課、專業(yè)基礎(chǔ)課,也是通信工程、計(jì)算機(jī)科學(xué)與技術(shù)、智能科學(xué)與技術(shù)、保密管理、微電子科學(xué)與工程、光電信息科學(xué)與工程、網(wǎng)絡(luò)工程、物聯(lián)網(wǎng)工程、信息安全、電氣工程及其自動(dòng)化等專業(yè)的選修課或?qū)I(yè)課。通過本課程的學(xué)習(xí),可使學(xué)生掌握數(shù)字系統(tǒng)的基礎(chǔ)理論知識(shí),數(shù)字電路的分類、典型數(shù)字電路組成、工作原理

2、、性能特點(diǎn)、基本分析方法、實(shí)驗(yàn)技能等。通過小班討論、課程實(shí)驗(yàn)、課程設(shè)計(jì)、實(shí)驗(yàn)實(shí)習(xí)等實(shí)踐教學(xué)環(huán)節(jié)可使學(xué)生進(jìn)一步了解數(shù)字電路的國(guó)內(nèi)外發(fā)展現(xiàn)狀、發(fā)展趨勢(shì)、研究前沿,為學(xué)生學(xué)習(xí)后續(xù)專業(yè)課程提供必要的基礎(chǔ)知識(shí)和理論背景,幫助學(xué)生奠定堅(jiān)實(shí)的專業(yè)理論基礎(chǔ)、掌握扎實(shí)的專業(yè)技能。(二)課程基本理念數(shù)字邏輯課程的核心內(nèi)容是數(shù)字電路的分析與綜合(設(shè)計(jì)) , 而數(shù)字電路在一定程度上是分析、設(shè)計(jì)各種電類系統(tǒng)的基礎(chǔ),如通信系統(tǒng)、計(jì)算機(jī)系統(tǒng),計(jì)算機(jī)的實(shí)質(zhì)是數(shù)字電子計(jì)算機(jī)。計(jì)算機(jī)的進(jìn)步離不開數(shù)字設(shè)計(jì),計(jì)算機(jī)技術(shù)的進(jìn)步又促進(jìn)數(shù)字設(shè)計(jì)的發(fā)展。數(shù)字電路的設(shè)計(jì)通常是采用自頂向下的設(shè)計(jì),該方法符合人類思維模式,與軟件設(shè)計(jì)相同,便于克服

3、早期的錯(cuò)誤。對(duì)數(shù)字電路的描述有多種方式,包括自然語言的表述、圖形 (原理圖、印刷電路圖)、 數(shù)學(xué) (邏輯代數(shù)表達(dá)式) 、表格(真值表,狀態(tài)表)、程序(VHD、L Verilog )等。數(shù)字系統(tǒng)設(shè)計(jì)層次從上至下一般分為:系統(tǒng)、子系統(tǒng)、邏輯單元、邏輯門、CMOS 電路、 硅片電路等層次,最基本的數(shù)字器件是門電路,本課程側(cè)重于邏輯門及以上層面的設(shè)計(jì)。本課程教學(xué)過程中,將涉及到開關(guān)代數(shù)( 邏輯代數(shù))的基本概念,公理、基本定理和重要規(guī)則,數(shù)字電路的靜態(tài)冒險(xiǎn)和動(dòng)態(tài)冒險(xiǎn),其中卡諾圖是分析設(shè)計(jì)數(shù)字電路最重要的工具,組合電路分析和設(shè)計(jì)中的兩個(gè)關(guān)鍵工具是真值表和卡諾圖;時(shí)序電路分析和設(shè)計(jì)中的兩個(gè)關(guān)鍵工具是狀態(tài)圖和

4、狀態(tài)表。組合邏輯電路和同步時(shí)序邏輯電路的分析與設(shè)計(jì)的經(jīng)典方法是在邏輯門級(jí)別,采用邏輯函數(shù)化簡(jiǎn)的方式使得系統(tǒng)采用的門的數(shù)量最少?,F(xiàn)代EDA 工具進(jìn)行數(shù)字系統(tǒng)設(shè)計(jì)的先進(jìn)的思想與基本方法在邏輯單元級(jí)別,采用集成電路(芯片)進(jìn)行。數(shù)字電路設(shè)計(jì)傳統(tǒng)意義上被認(rèn)為是屬于硬件方面的課程,本課程的學(xué)生中,將對(duì)原理圖的講解作為對(duì)數(shù)字電路理解的基礎(chǔ),針對(duì)軟件專業(yè),可以把數(shù)字系統(tǒng)設(shè)計(jì)看出是一門軟件課程,教學(xué)中數(shù)字系統(tǒng)設(shè)計(jì)的重點(diǎn)是采用硬件描述語言的方式。數(shù)字電路重要的邏輯單元包括譯碼器、編碼器、三態(tài)器件、多路復(fù)用器、奇偶校驗(yàn)電路、比較器、多路分配器。CMOS 構(gòu)成的門電路除了常用的與非門、或非門、非門、與門、或門、異或

5、門、同或門外,還有三態(tài)門、傳輸門、漏極開路門。它們是構(gòu)成邏輯單元的基礎(chǔ),每個(gè)邏輯單元可以看出是一個(gè)小數(shù)字電路。通過課程的學(xué)習(xí),實(shí)驗(yàn)和課程設(shè)計(jì)時(shí)所設(shè)計(jì)的數(shù)字電路,不但在邏輯是可行,在時(shí)序上也有相應(yīng)的要求。數(shù)字系統(tǒng)設(shè)計(jì)的成本是我們不得不面對(duì)的重要問題,ASIC的設(shè)計(jì)成本高,一般采用可編程邏輯器件(半 成品)FPG徵CPLD將編譯仿真通過的程序下載到FPGAh,來實(shí)現(xiàn)專用集成電路的設(shè)計(jì)。學(xué)生通過本課程的學(xué)習(xí),應(yīng)當(dāng)能夠設(shè)計(jì)出簡(jiǎn)單、結(jié)構(gòu)完整的數(shù)字系統(tǒng),并能正確分析其基本性能。學(xué)生在學(xué)習(xí)過程中,通過預(yù)習(xí)和討論加深理解,通過課后習(xí)題、課程實(shí)驗(yàn)、課程設(shè)計(jì)等環(huán)節(jié)鞏固課程中的關(guān)鍵知識(shí)點(diǎn),為融會(huì)貫通理論研究、綜合硬

6、件設(shè)計(jì)和軟件開發(fā)等基本專業(yè)技能奠定基礎(chǔ)。通過本課程的學(xué)習(xí),為“計(jì)算機(jī)組成與結(jié)構(gòu)”、 “數(shù)字系統(tǒng)設(shè)計(jì)”、“嵌入式系統(tǒng)”等課程打下堅(jiān)實(shí)的基礎(chǔ)。(三)課程設(shè)計(jì)思路數(shù)字邏輯主要講授組合邏輯電路和同步時(shí)序邏輯電路的分析與設(shè)計(jì)的經(jīng)典和現(xiàn)代方法。1、框架設(shè)計(jì)數(shù)字邏輯包括組合邏輯電路和時(shí)序邏輯電路,其中時(shí)序邏輯電路又分為同步時(shí)序邏輯電路和反饋時(shí)序邏輯電路(異步時(shí)序邏輯電路)。控制信號(hào)加狀態(tài)機(jī)交織成一個(gè)相對(duì)完整的數(shù)字系統(tǒng)。2、內(nèi)容安排將教學(xué)內(nèi)容編排為七個(gè)部分,分別是:第一部分:引言。主要講述與數(shù)字電路相關(guān)的基本概念、電路分類、數(shù)字設(shè)計(jì)層次等。第二部分:數(shù)制和碼制。數(shù)字系統(tǒng)中數(shù)字量的表示和處理,非數(shù)值數(shù)據(jù)、事件、

7、條件等事物的表示;各進(jìn)制及相互轉(zhuǎn)換;機(jī)器數(shù)的表示方法;常用的幾種編碼。第三部分:數(shù)字電路。CMOS路的電氣特性指標(biāo)。第四部分:組合邏輯設(shè)計(jì)原理。開關(guān)代數(shù)( 邏輯代數(shù))的基本概念,公理、基本定理和重要規(guī)則;卡諾圖化簡(jiǎn)邏輯函數(shù);組合邏輯電路分析和設(shè)計(jì)的基本方法。第五部分:硬件描述語言。自頂向下的設(shè)計(jì)方法;VHDL 程序基本結(jié)構(gòu)、基本語法;描述結(jié)構(gòu)體功能第六部分:組合邏輯電路設(shè)計(jì)實(shí)踐。設(shè)計(jì)文檔的要求;可編程邏輯器件的基本工作原理及邏輯結(jié)構(gòu);的三種方法:行為描述法、數(shù)據(jù)流描述法、結(jié)構(gòu)描述法。譯碼器、編碼器、三態(tài)器件、多路復(fù)用器、奇偶校驗(yàn)電路、比較器、加法器的工作原理及應(yīng)用。第七部分:時(shí)序邏輯電路設(shè)計(jì)原

8、理。時(shí)序邏輯電路的基本結(jié)構(gòu)、分類和常用的描述方法;同步時(shí)序邏輯電路分析和設(shè)計(jì)的基本方法;反饋時(shí)序邏輯電路分析和設(shè)計(jì)的基本方法。3、教學(xué)實(shí)施課堂授課:48 課時(shí)。分16 周實(shí)施,每周4 課時(shí)。含期中考試、習(xí)題課等。小班討論:16課時(shí)。討論班每班30人左右;每個(gè)班均分8次實(shí)施,每次2課時(shí)。課程實(shí)驗(yàn)和課程設(shè)計(jì):16課時(shí)。主要通過Quartus完成仿真實(shí)驗(yàn),并利用院創(chuàng)新中心自主研發(fā)設(shè)計(jì)的FPGA 開發(fā)板,以加深對(duì)課堂教學(xué)內(nèi)容的理解,對(duì)硬件和軟件結(jié)合的實(shí)施。課程實(shí)驗(yàn)和課程設(shè)計(jì)主要由學(xué)生在課外自行完成,16課時(shí)主要用于課程實(shí)驗(yàn)和課程設(shè)計(jì)的指導(dǎo)與驗(yàn)收。4、課程評(píng)價(jià)考試、考查、實(shí)驗(yàn)、作業(yè)、設(shè)計(jì)文檔等相結(jié)合???/p>

9、勤5%,作業(yè)10%,月考5%,課程實(shí)驗(yàn)和課程設(shè)計(jì)25%,期中考試10%,期末考試45%。二、課程目標(biāo)(一)知識(shí)與技能1、知識(shí)基本概念 (熟練掌握): 數(shù)字信號(hào)、模擬信號(hào);信息; 大量專業(yè)縮寫詞IC、 ASIC、 FPGA、 SMI、 、 、 編碼:ASCH、8421BCD碼、2421碼、格雷碼、海明碼;可靠性編碼:校驗(yàn)碼、海明距離,CMOS路電氣特 性的幾個(gè)指標(biāo)(噪聲容限、扇入、扇出等)、狀態(tài)、總態(tài)。邏輯器件(熟練掌握):譯碼器、編碼器、三態(tài)器件、多路復(fù)用器、奇偶校驗(yàn)電路、比較器、加法器。理解并掌握:模擬信號(hào)的離散化表示,數(shù)字量的表示和處理,非數(shù)值數(shù)據(jù)、事件、 條件等事物的表示;邏輯代數(shù)的公理

10、、基本定理和重要規(guī)則:反演規(guī)律、對(duì)偶規(guī)律、特摩根定理。理清楚:卡諾圖與格雷碼的關(guān)系、卡諾圖化簡(jiǎn)的理論基礎(chǔ);門或芯片中反向圈的應(yīng)用,門電路的互相轉(zhuǎn)換;漏極開路門的“線”操作。三態(tài)門與漏極開路門的應(yīng)用結(jié)合。組合電路的靜態(tài)冒險(xiǎn)和反饋時(shí)序電路的本質(zhì)冒險(xiǎn);同步狀態(tài)機(jī)的設(shè)計(jì)與反饋時(shí)序電路設(shè)計(jì)中狀態(tài):穩(wěn)定狀態(tài)與不穩(wěn)定狀態(tài),設(shè)計(jì)中對(duì)狀態(tài)編碼的不同要求。在融會(huì)貫通的基礎(chǔ)上熟練掌握:組合邏輯電路和同步時(shí)序邏輯電路的分析與設(shè)計(jì)方法。芯片的級(jí)聯(lián),為什么要級(jí)聯(lián),與扇入、扇出系數(shù)的關(guān)聯(lián)。VHDL 編程設(shè)計(jì):VHDL 基本語法;描述結(jié)構(gòu)體功能的三種方法:行為描述法、數(shù)據(jù)流描述法、結(jié)構(gòu)描述法,不同描述方法的特征與特點(diǎn)。順序語

11、句與并發(fā)語句的使用,過程、進(jìn)程、函數(shù)、塊、例化元件,條件判斷語句的不同應(yīng)用,循環(huán)語句的使用。2、技能提升邏輯推理、分析計(jì)算、總結(jié)歸納及自學(xué)新知識(shí)等能力。提高獨(dú)立的研究性學(xué)習(xí)能力,包括文獻(xiàn)檢索、文獻(xiàn)綜述、研究報(bào)告、課程報(bào)告等寫作能力。強(qiáng)化數(shù)學(xué)語言的運(yùn)用能力,邏輯代數(shù)的公理、定理的價(jià)值,與卡諾圖之間的關(guān)系,仿真建模與數(shù)值分析的意識(shí)和能力。提高借助計(jì)算機(jī)軟件進(jìn)行系統(tǒng)仿真的技能和熟練程度。提高FPGA開發(fā)板的使用能力(開設(shè)專門的數(shù)字邏輯實(shí)驗(yàn)課程,由實(shí)驗(yàn)中心負(fù)責(zé),但本課程為實(shí) 驗(yàn)課奠定了理論基礎(chǔ))。通過相關(guān)實(shí)驗(yàn)課程,學(xué)會(huì)使用示波器等儀器設(shè)備;掌握定時(shí)圖的分析方法。(二)過程與方法主要采用課堂講授,結(jié)合案

12、例教學(xué),同時(shí)采用多媒體、演示、小班討論等方法。理論研究 (熟練掌握)。 掌握利用真值表、卡諾圖、 狀態(tài)表、 狀態(tài)圖等分析、設(shè)計(jì)數(shù)字系統(tǒng),理解邏輯代數(shù)與數(shù)學(xué)中函數(shù)的異同點(diǎn),理解邏輯運(yùn)算與數(shù)學(xué)運(yùn)算的異同點(diǎn)。ALU 既有算術(shù)運(yùn)算又有邏輯運(yùn)算,通過 ALU 的分析和設(shè)計(jì),進(jìn)一步理解邏輯運(yùn)算與數(shù)學(xué)運(yùn)算。熟練運(yùn)用Quartus完成實(shí)驗(yàn)和課程設(shè)計(jì)的仿真,分析定時(shí)圖,并下載到FPGA開發(fā)板,從理性和感性兩個(gè)方面充分掌握數(shù)字電路的原理和設(shè)計(jì)。數(shù)字系統(tǒng)硬件描述語言的編程設(shè)計(jì)。VHDL在很多方面與已學(xué)過的軟件開發(fā)工具,如C語言類似,找 出兩者的聯(lián)系和區(qū)別,弄清楚兩者最大的差異是數(shù)字電路是硬件電路,有不同通道門的并行

13、操作,且門、導(dǎo)線存在延時(shí),仿真借助的仍是計(jì)算機(jī)安裝的EDA 平臺(tái), 所以 VHDL 語言的編程要考慮門、器件的延時(shí)。重要的操作對(duì)象之一是信號(hào)。而軟件編程語言中的操作對(duì)象一般都是變量和常量等。將數(shù)字電路是設(shè)計(jì)計(jì)算機(jī)的基礎(chǔ),兩者結(jié)合密切,如優(yōu)先編碼器與中斷、譯碼器與片選信號(hào)、多路復(fù)用器與總線上數(shù)據(jù)讀/寫的關(guān)系等。結(jié)合嵌入式系統(tǒng),存儲(chǔ)陣列、調(diào)制解調(diào)器、數(shù)字鎖相環(huán)等,了解FPGA芯片在圖形圖像檢索、通信系統(tǒng)等領(lǐng)域的應(yīng)用,香農(nóng)定理對(duì)現(xiàn)代通信的貢獻(xiàn)等。(三)情感態(tài)度和價(jià)值觀通過數(shù)字邏輯課程教學(xué),應(yīng)注重培養(yǎng)學(xué)生以下素質(zhì):1 、 求實(shí)精神。要掌握 數(shù)字邏輯的核心內(nèi)容,必須做到前修課程與本課程知識(shí)要點(diǎn)之間的融會(huì)

14、貫通,且不能有任何概念上的模糊,因此,本課程能夠培養(yǎng)學(xué)生嚴(yán)謹(jǐn)求實(shí)的科學(xué)態(tài)度、刻苦鉆研的求實(shí)作風(fēng)。2、實(shí)踐意識(shí)。通過學(xué)習(xí),引導(dǎo)學(xué)生利用所學(xué)基本理論,與工程實(shí)踐結(jié)合,解決實(shí)際中的問題。三、內(nèi)容標(biāo)準(zhǔn)(一)課堂講授部分第一章 引言教學(xué)目的與要求:了解數(shù)字系統(tǒng)與模擬系統(tǒng)的關(guān)系;掌握常用的邏輯器件;清楚數(shù)字系統(tǒng)專用名詞;了解數(shù)字設(shè)計(jì)層次。教學(xué)重點(diǎn):模擬信號(hào)的離散化表示。教學(xué)難點(diǎn):數(shù)字設(shè)計(jì)層次。教學(xué)內(nèi)容:計(jì)算機(jī)課程的特點(diǎn);本課程介紹; 數(shù)字系統(tǒng), 數(shù)字系統(tǒng)的歷史及對(duì)社會(huì)的影響,關(guān)于數(shù)字設(shè)計(jì),模擬與數(shù)字,數(shù)字器件,數(shù)字設(shè)計(jì)的電子技術(shù),數(shù)字設(shè)計(jì)的軟件技術(shù),集成電路,可編程邏輯器件,專用集成電路,印制電路板,數(shù)字

15、設(shè)計(jì)層次。第二章 數(shù)制和碼制教學(xué)目的與要求:闡述在數(shù)字系統(tǒng)中數(shù)字量的表示和處理,非數(shù)值數(shù)據(jù)、事件、條件等事物的表示。掌握二、十、八、十六進(jìn)制及相互轉(zhuǎn)換;掌握二進(jìn)制的原碼、反碼和補(bǔ)碼表示及其加減運(yùn)算了解定點(diǎn)數(shù)與浮點(diǎn)數(shù)的基本概念;掌握常用的幾種編碼。教學(xué)重點(diǎn):編碼教學(xué)難點(diǎn):補(bǔ)碼、海明碼。教學(xué)內(nèi)容:按位計(jì)數(shù)制,八進(jìn)制和十六進(jìn)制,常用按位計(jì)數(shù)制的轉(zhuǎn)換,非十進(jìn)制數(shù)的加法和減法,負(fù)數(shù)的表示,二進(jìn)制補(bǔ)碼的加法和減法,十進(jìn)制數(shù)的二進(jìn)制編碼, 葛萊碼, 檢錯(cuò)碼和糾錯(cuò)碼字符編碼,動(dòng)作、條件和狀態(tài)的編碼,n維體與距離,檢錯(cuò)碼和糾錯(cuò)碼,用于串行數(shù)據(jù)傳輸與存儲(chǔ)的編碼。第三章 數(shù)字電路教學(xué)目的與要求:掌握有關(guān)數(shù)字電路電氣

16、方面的堅(jiān)實(shí)的工作知識(shí),構(gòu)建出實(shí)際電路和系統(tǒng)。要求:熟悉CMOS輯門的構(gòu)成和特性參數(shù);了解TTL邏輯門的構(gòu)成和特性參數(shù);了解有關(guān)數(shù)字電路電氣方面的基礎(chǔ)知識(shí),以便構(gòu)建出符合實(shí)際要求的電路和系統(tǒng);了解CMOS路電氣特性的幾個(gè)指標(biāo);熟悉噪聲容限、扇入、扇出等含義;掌握影響速度的兩個(gè)因素:轉(zhuǎn)換時(shí)間與傳播速度;理解三態(tài)門、傳輸門、漏極開路門等工作原理。教學(xué)重點(diǎn):噪聲容限、扇入、扇出等含義、轉(zhuǎn)換時(shí)間與傳播速度、三態(tài)門。教學(xué)難點(diǎn):CMOS與非”門和“或非”門電路結(jié)構(gòu)教學(xué)內(nèi)容:邏輯信號(hào)與門電路,邏輯系列,CMOS輯,CMOS路的電氣特性和動(dòng)態(tài)電氣特性,三態(tài)門、傳 輸門、漏極開路門,雙極邏輯,晶體管-晶體管邏輯,

17、TTL系列。第四章 組合邏輯設(shè)計(jì)原理教學(xué)目的與要求:掌握開關(guān)代數(shù)(邏輯代數(shù))的基本概念,初步學(xué)會(huì)用函數(shù)描述邏輯問題的基本方法;掌握邏輯代數(shù)的公理、基本定理和重要規(guī)則;熟練掌握用卡諾圖化簡(jiǎn)邏輯函數(shù);了解組合邏輯電路的特點(diǎn);了解竟?fàn)?、冒險(xiǎn)的概念,掌握消除冒險(xiǎn)的基本方法;了解組合邏輯電路分析和設(shè)計(jì)的基本方法。教學(xué)重點(diǎn):布爾代數(shù);布爾函數(shù)的標(biāo)準(zhǔn)形式;卡諾圖。教學(xué)難點(diǎn):用卡諾圖對(duì)邏輯函數(shù)進(jìn)行化簡(jiǎn)。教學(xué)內(nèi)容:開關(guān)代數(shù),組合電路分析,組合電路的綜合,冒險(xiǎn)。第五章 硬件描述語言教學(xué)目的與要求:用VHD用言設(shè)計(jì)簡(jiǎn)單的數(shù)字系統(tǒng)。教學(xué)重點(diǎn):用VHD晤言中不同的描述方式設(shè)計(jì)數(shù)字電路。教學(xué)難點(diǎn):頂層與子層間的關(guān)系與調(diào)用

18、。教學(xué)內(nèi)容:VHD程序基本結(jié)構(gòu):實(shí)體、結(jié)構(gòu)體、配置、包集合和庫(kù);VHD星本語法;描述結(jié)構(gòu)體功能的三種方法:行為描述法、數(shù)據(jù)流描述法、結(jié)構(gòu)描述法。不同描述方法的特征與特點(diǎn)。順序語句與并發(fā)語句的使用。 過程、進(jìn)程、函數(shù)、塊、例化元件。 條件判斷語句的不同應(yīng)用,循環(huán)語句的使用。第六章 組合邏輯電路設(shè)計(jì)實(shí)踐教學(xué)目的與要求:了解可編程邏輯器件的基本工作原理及邏輯結(jié)構(gòu);學(xué)會(huì)使用常用的可編程邏輯器件;掌握譯碼器、編碼器、三態(tài)器件、多路復(fù)用器、奇偶校驗(yàn)電路、比較器的工作原理;掌握“圈到圈”設(shè)計(jì)理念;熟悉定時(shí)圖;理解加法器、減法器、ALU的工作原理;掌握電路設(shè)計(jì)級(jí)聯(lián)的方式。教學(xué)重點(diǎn):定時(shí)圖、譯碼器、編碼器、多路

19、復(fù)用器。教學(xué)難點(diǎn):電路設(shè)計(jì)級(jí)聯(lián)的方式。教學(xué)內(nèi)容:文檔標(biāo)準(zhǔn),組合型PLD譯碼器,編碼器,三態(tài)器件,多路復(fù)用器,異或門和奇偶校驗(yàn)電路, 比較器。第七章 時(shí)序邏輯電路設(shè)計(jì)原理教學(xué)目的與要求:了解時(shí)序邏輯電路的基本結(jié)構(gòu)、分類和常用的描述方法;熟悉各種鎖存器和觸發(fā)器的功能和使用;熟悉狀態(tài)圖的建立,狀態(tài)簡(jiǎn)化和狀態(tài)分配等各個(gè)重要環(huán)節(jié);了解同步時(shí)序邏輯電路分析和設(shè)計(jì)的基本方法;掌握異步時(shí)序邏輯電路對(duì)輸入信號(hào)的約束條件;熟練掌握異步時(shí)序邏輯電路分析和設(shè)計(jì)的基本方法;對(duì)冒險(xiǎn)的影響有基本的了解。教學(xué)重點(diǎn):鎖存器與觸發(fā)器;時(shí)序電路設(shè)計(jì);狀態(tài)機(jī)圖。教學(xué)難點(diǎn):構(gòu)建正確的狀態(tài)圖或狀態(tài)表。教學(xué)內(nèi)容:雙穩(wěn)態(tài)元件,鎖存器與觸發(fā)器

20、,時(shí)鐘同步狀態(tài)機(jī)分析,時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì),用狀態(tài)圖設(shè)計(jì)狀態(tài)機(jī),用轉(zhuǎn)移表綜合狀態(tài)機(jī),其它狀態(tài)機(jī)設(shè)計(jì)舉例,狀態(tài)機(jī)的分解,反饋時(shí)序電路,反饋時(shí)序電路設(shè)計(jì)。(二)課程實(shí)驗(yàn)教學(xué)部分教學(xué)目的:1 加深對(duì)課程核心內(nèi)容的理解;2 鞏固已掌握的數(shù)字邏輯相關(guān)知識(shí);3 提高學(xué)生獨(dú)立完成仿真實(shí)驗(yàn)及開發(fā)板驗(yàn)證實(shí)驗(yàn)的動(dòng)手能力,;4 培養(yǎng)學(xué)生獨(dú)立思考、分析和解決實(shí)際問題的能力。教學(xué)內(nèi)容:1 實(shí)驗(yàn)一 Quartu歇件的基本操彳三態(tài)門、OC門2 實(shí)驗(yàn)二 3 種不同方式設(shè)計(jì)的素?cái)?shù)檢測(cè)器3 實(shí)驗(yàn)三 多路復(fù)用器、比較器4 實(shí)驗(yàn)四譯碼器、編碼器5 實(shí)驗(yàn)五1 位加法器、4位的加法器6 實(shí)驗(yàn)六實(shí)驗(yàn)考試:狀態(tài)機(jī)7 課程設(shè)計(jì):頻率計(jì)或洗衣機(jī)控

21、制電路教學(xué)要求:1 要求所有學(xué)生熟練掌握Quartu舞仿真實(shí)驗(yàn)工具;2 可以從上述實(shí)驗(yàn)清單中選做6 個(gè)實(shí)驗(yàn), 2 個(gè)課程設(shè)計(jì)中選做1 個(gè);3 通過課程中心的課程網(wǎng)站或社交網(wǎng)絡(luò)空間實(shí)現(xiàn)指導(dǎo)、歸檔等工作;4 實(shí)驗(yàn)指導(dǎo)分為網(wǎng)絡(luò)遠(yuǎn)程指導(dǎo)、課程實(shí)驗(yàn)課堂上的指導(dǎo)兩部分;5 實(shí)驗(yàn)驗(yàn)收必須在實(shí)驗(yàn)中心現(xiàn)場(chǎng)進(jìn)行,便驗(yàn)收、便指導(dǎo);為檢驗(yàn)實(shí)驗(yàn)是否為學(xué)生獨(dú)立完成,教師或助教可要求學(xué)生現(xiàn)場(chǎng)還原實(shí)驗(yàn)。(三)小班討論課部分教學(xué)目的:1 加深對(duì)課程核心內(nèi)容的理解;2 了解數(shù)字系統(tǒng)與技術(shù)的發(fā)展現(xiàn)狀、趨勢(shì),以及研究前沿等;3 提高學(xué)生對(duì)理論研究和技術(shù)開發(fā)等工作任務(wù)的理解能力;4 培養(yǎng)學(xué)生與教師、學(xué)生與學(xué)生之間的溝通、組織、協(xié)調(diào)等能

22、力。教學(xué)內(nèi)容:討論1:以前是模擬的現(xiàn)在是數(shù)字的系統(tǒng)討論2:補(bǔ)碼、反碼加減法強(qiáng)化討論3:編碼的藝術(shù)及基本方法討論4:各種門電路的特性及效率、討論5:開關(guān)代數(shù)強(qiáng)化訓(xùn)練討論6:組合電路分析討論7:卡諾圖化簡(jiǎn)方法討論討論8: Quartus軟件使用方法討論討論9: VHDL語言與C語言的比較討論10:編碼器與譯碼器應(yīng)用設(shè)計(jì)討論11:加法器的設(shè)計(jì)方法討論12:組合邏輯電路強(qiáng)化練習(xí)討論13:關(guān)于觸發(fā)器、鎖存器的討論討論14:狀態(tài)機(jī)分析討論15:狀態(tài)機(jī)設(shè)計(jì)教學(xué)要求:1 .教師必須制訂難度適中的小班研討主題,并在課程網(wǎng)站或社交網(wǎng)絡(luò)空間中及時(shí)向?qū)W生發(fā)布;2 .發(fā)布研討主題時(shí),須明確關(guān)鍵詞、完成方法、成果形式、提

23、交方式、格式等要求;3 .對(duì)學(xué)生的臨場(chǎng)表現(xiàn)、所提交的成果進(jìn)行及時(shí)的、客觀、公正的評(píng)分,并選擇合適的時(shí)機(jī)向全 體學(xué)生公布。四、實(shí)施建議(一)教學(xué)實(shí)施1 .課程學(xué)時(shí)分配表教學(xué) 內(nèi)容學(xué)時(shí)安排講授 學(xué)時(shí)實(shí)踐學(xué)時(shí)小計(jì)拓展實(shí)踐課題論文小班實(shí)上課程自主苴學(xué)習(xí)探索研究撰寫研討驗(yàn)機(jī)設(shè)計(jì)學(xué)習(xí)他章41 1P 51第F62- 8第三章213 第四章73212第五章82 1212 83617第七章124622期中測(cè)試1:1小計(jì)481616一 802.教學(xué)方法和手段多媒體教學(xué):以多媒體教學(xué)為主、板書為輔,多媒體教學(xué)學(xué)時(shí)約占課程學(xué)時(shí)的60%。網(wǎng)絡(luò)教學(xué):(1)湖南大學(xué)教務(wù)處,課程中心,數(shù)字邏輯A»課程網(wǎng)站;(2)湖南大學(xué)信息科學(xué)與工程學(xué)院,虛擬實(shí)驗(yàn)教學(xué)中心,數(shù)字邏輯A虛擬仿真實(shí)驗(yàn)系統(tǒng)。 小班研討:小班課教師通過課程中心布置討論主題,由學(xué)生提前一周準(zhǔn)備;小班課前 3-5天,學(xué)生將研究報(bào) 告、PPT等上傳到課程中心課程網(wǎng)站,并發(fā)給負(fù)責(zé)小班課的教師或助教。小班研討課上,由小班課教師或助教組織研討,并對(duì)學(xué)生表現(xiàn)、報(bào)告質(zhì)量等進(jìn)行綜合評(píng)分。小班研討課結(jié)束時(shí),公布小班研討相關(guān)的成績(jī)(百分制,占總成績(jī)的20%)。課程實(shí)驗(yàn):小班

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論