簡易數(shù)字信號傳輸性能分析儀_第1頁
簡易數(shù)字信號傳輸性能分析儀_第2頁
簡易數(shù)字信號傳輸性能分析儀_第3頁
簡易數(shù)字信號傳輸性能分析儀_第4頁
簡易數(shù)字信號傳輸性能分析儀_第5頁
已閱讀5頁,還剩8頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、簡易數(shù)字信號傳輸性能分析儀摘 要 通信的根本任務(wù)是傳遞信息,準確地傳輸數(shù)字信號是數(shù)字通信的一個極其重要的環(huán)節(jié)。數(shù)字信號在傳輸過程中會受到各種信號的干擾,而本數(shù)字信號分析儀就是為了實現(xiàn)數(shù)字信號傳輸性能測試。本分析儀由FPGA產(chǎn)生數(shù)字信號,其時鐘信號由MCU控制,為模擬數(shù)字信號的傳輸信道而制作了截止頻率分別為100kHz、200kHz、500kHz的低通濾波器,同時通過FPGA產(chǎn)生一個偽隨機信號來模擬數(shù)字信號傳輸中的噪聲,加法器將經(jīng)過濾波器濾波之后的數(shù)字信號和偽隨機噪聲信號相加,相加之后的信號作為數(shù)字分析電路的輸入信號,然后通過數(shù)字分析電路產(chǎn)生輸出信號和此信號的同步信號,然后用示波器顯示數(shù)字信號的

2、眼圖,通過對眼圖的觀察,分析數(shù)字信號的傳輸性能。實測結(jié)果表明,本系統(tǒng)實現(xiàn)了題目基本部分的各項設(shè)計指標(biāo),擴展部分的大多數(shù)指標(biāo)。關(guān)鍵詞:數(shù)字信號 傳輸 濾波 噪聲 眼圖一、系統(tǒng)方案本系統(tǒng)主要由數(shù)字信號發(fā)生器模塊、低通濾波器模塊、偽隨機信號發(fā)生器模塊、信號合成模塊、數(shù)字信號分析模塊,下面分別論證這幾個模塊的選擇。1.1 數(shù)字信號發(fā)生器的論證與選擇方案一:采用數(shù)字邏輯芯片實現(xiàn)n級線性移位寄存器發(fā)生器和其他門電路來產(chǎn)生m序列。此法硬件電路簡單,成本低廉,但電路連線較多,工程量大,且電路產(chǎn)生的數(shù)字信號效果較差;方案二:采用matlab仿真和單片機編程實現(xiàn)m序列輸出。利用matlab計算出m序列,然后用單片

3、機周期性輸出該序列。此法幾乎不需要額外的硬件電路,但m序列的計算難度稍大;方案三:采用基于FPGA/CPLD的模塊電路實現(xiàn)。利用FPGA/CPLD生成n級高速線性移位寄存器發(fā)生器和輔助邏輯電路來產(chǎn)生m序列。這種方法實現(xiàn)方法簡單,便于仿真和設(shè)計,可以在短時間內(nèi)設(shè)計完成,優(yōu)勢突出;綜合以上三種方案,選擇設(shè)計方案三。1.2 低通濾波器的論證與選擇方案一:采用無源LC濾波器實現(xiàn)。電路結(jié)構(gòu)簡單,容易實現(xiàn),但其實際幅頻特性不理想,增益控制較難;方案二:由RC元件與運算放大器組成低通濾波器,可用在信息處理、數(shù)據(jù)傳輸、抑制干擾等方面,但因受運算放大器頻帶限制,這類濾波器主要用于低頻范圍。具有理想幅頻特性的濾波

4、器是很難實現(xiàn)的,只能用實際的幅頻特性去逼近理想的。一般來說,濾波器的幅頻特性越好,其相頻特性越差,反之亦然。濾波器的階數(shù)越高,幅頻特性衰減的速率越快,但RC網(wǎng)絡(luò)的節(jié)數(shù)越多,元件參數(shù)計算越繁瑣,電路調(diào)試越困難;方案三:采用極低噪聲、高頻有源濾波器集成電路芯片,其電路具有外圍電路簡單,幅頻特性好,精度高等優(yōu)點。可選用的高頻濾波芯片有LM6172、THS3092等芯片,采用不同電阻值可以實截止頻率100kHz到10MHz的低通濾波器。綜合以上三種方案,選擇方案三。1.3 偽隨機信號發(fā)生器的論證與選擇由于此部分和數(shù)字信號發(fā)生器部分的結(jié)構(gòu)相似,故選擇FPGA與數(shù)字信號發(fā)生器模塊同時實現(xiàn),以節(jié)約資源,降級

5、能耗。1.4 信號合成模塊的論證與選擇方案一:采用集成運放組成反相加法電路實現(xiàn)噪聲和信號的合成反相加法器電路容易理解,且公式簡單,但是電路反相,對于后續(xù)數(shù)字信號處理,電路反相之后仍需再加一級運放再次反相;方案二:采用集成運放組成同相加法電路實現(xiàn)噪聲和信號的合成同相加法器信號計算公式叫復(fù)雜,電阻匹配要求較高,通常情況下,對原有輸入信號有所放大或縮小,但是輸入輸出信號相位沒有變化,且可以通過適當(dāng)選擇電阻實現(xiàn)原輸入信號的相加,而不加上放大倍數(shù);綜合考慮采用方案二。1.5 數(shù)字分析模塊的論證與選擇方案一:由硬件電路進行時鐘分離,硬件電路較復(fù)雜,調(diào)試困難;方案二:由FPGA,MCU等用軟件編程的方法實現(xiàn)

6、同步信號的實現(xiàn),程序有很大的發(fā)揮創(chuàng)新空間。綜合考慮采用方案二。二、系統(tǒng)理論分析與計算2.1 m序列數(shù)字信號 2.1.1 數(shù)字信號發(fā)生器部分的m序列的產(chǎn)生該信號的多項式為f1(x)=1+x2+x3+x4+x8。在通信原理中有如下定理:若一個n次多項式滿足如下條件:(1)、f(x)是既約的(2)、f(x)可整除1+xm,m=2n-1(3)、f(x)除不盡xq-1,q<m則稱f(x)為本原多項式。由本原多項式產(chǎn)生的序列一定是m序列。所以多項式f1(x)為本源多項式。其產(chǎn)生的m序列的循環(huán)周期(長度)為P=28-1=255。2.1.2 偽隨機信號發(fā)生器部分的m序列的產(chǎn)生該信號的本源多項式為f1(x

7、)=1+x1+x4+x5+x12。其產(chǎn)生的m序列的循環(huán)周期(長度)為P=212-1=4095。2.2 低通濾波器設(shè)計 2.2.1 芯片選擇本設(shè)計選用TI公司的LT1568芯片,該芯片采用單+3V電源時的中心頻率可高達 10MH,采用單個電阻器阻值來設(shè)定低通截止頻率(200kHz <= fC <= 5MHz),不對稱的電阻器阻值可將截止頻率擴展100kHz-10MHz ,采用不同的電阻器阻值可實現(xiàn)帶或不帶增益的低通轉(zhuǎn)移函數(shù) (巴特沃斯、切比雪夫或定制) ,內(nèi)部有一對2階濾波器,可采用單 3V (最小值為 2.7V) 至 ±5V 電源運作,軌至軌輸入和輸出電壓。2.3同步時鐘

8、的提取采用STM32單片機對傳輸?shù)臄?shù)字信號進行程序處理操作,從而提取處同步信號。2.3眼圖顯示方法本簡易數(shù)字信號傳輸性能分析儀使用示波器來顯示眼圖,數(shù)字信號分析電路輸出數(shù)字信號及其同步信號,將分析電路的分析信號加到示波器的Y軸,將示波器的觸發(fā)源選擇在外接檔,并將數(shù)字信號的同步信號通過示波器的外接輸入探頭輸入,然后調(diào)整示波器的掃描時間間隔和其觸發(fā)電平,就能得到穩(wěn)定的眼圖,如圖4。圖1 眼圖形成原理三、電路與程序設(shè)計本系統(tǒng)由數(shù)字信號發(fā)生器模塊、偽隨機信號發(fā)生器、濾波電路模塊、加法器模塊、數(shù)字電路分析模塊,顯示器模塊組成,如圖5所示。圖2 系統(tǒng)總框圖3.1單元電路的設(shè)計3.1.2單元框圖MCUSEM

9、32M序列偽隨機信號信號合成示波器眼圖顯示FPGA曼徹斯特碼濾波電路分析電路圖33.1.2 信號發(fā)生器單元設(shè)計1、m序列產(chǎn)生模塊的電路圖如圖6所示。Clk輸入端為同步時鐘,經(jīng)過線性移位寄存器后用一組門電路作為反饋函數(shù)網(wǎng)絡(luò)。該電路采用FPGA芯片實現(xiàn)。由于該FPGA資源有限,同時提供了信號發(fā)生器和偽噪聲發(fā)生器所需要的的邏輯器件。所以其輸入時鐘控制采用了一片STM32單片機,通過單片機按鍵可以實現(xiàn)對clk時鐘從10kHz到100kHz步進10kHz可調(diào),即是數(shù)字信號發(fā)生器的數(shù)據(jù)率從10kbps到100kbps步進10kbps可調(diào),同時,用液晶顯示數(shù)據(jù)率。ClkQout圖4 m序列產(chǎn)生電路2、曼徹斯

10、特碼產(chǎn)生電路如圖8所示。其中的m_gen子模塊為m序列產(chǎn)生模塊,將m序列與對應(yīng)的同步時鐘信號V1_clock一起輸入到一個二輸入異或門就可以得到m序列的曼特斯特編碼V1_m。但由于異或門的輸入端信號可能同時跳變產(chǎn)生競爭冒險現(xiàn)象,故在異或門后級加一個用晶振時鐘同步的D觸發(fā)器,以消除競爭冒險。該模塊由FPGA實現(xiàn)。圖5 曼徹斯特編碼電路3.1.3 偽噪聲信號產(chǎn)生單元設(shè)計1、偽噪聲信號產(chǎn)生子系統(tǒng)系統(tǒng)電路圖如圖9所示,該模塊同樣由CPLD實現(xiàn)。其輸入時鐘為20MHz的晶振時鐘,經(jīng)過二分頻后得到10MHz的時鐘,將之供給線性移位寄存器。Qout ClkClkQout圖6 偽隨機信號發(fā)生器電路圖3.1.4

11、 濾波器單元設(shè)計通過查看LM6172、THS3092的數(shù)據(jù)手冊,針對濾波器不同的截止頻率計算芯片路中電阻的阻值,并LM6172、THS3092用該芯片的設(shè)計軟件進行了設(shè)計驗證,得到100kHz,200kHz,500kHz的濾波電路圖分別為圖7,圖8,圖9。圖7 100khz截止頻率圖8 200khz截止頻率圖9 500k截止頻率3.1.5 加法器單元設(shè)計為實現(xiàn)數(shù)字信號與噪聲信號的疊加,采用圖10所示同相加法電路,該電路能夠?qū)崿F(xiàn)V2,V3信號的同相相加,通過電阻計算,若R25=2R25=2R28=2R30=2R29,就能夠使V2A=V2+V3。圖10 加法電路3.1.6 數(shù)字信號分析單元設(shè)計數(shù)字

12、信號分析模塊采用一片STM32單片機實現(xiàn)主程序為函數(shù)初始化和等待中斷,比較簡單,不做贅述。中斷服務(wù)程序的流程圖如下所示。PA2口中斷(曼徹斯特碼上升沿中斷)保持TIM2計數(shù)值,清零、開始計數(shù)將計數(shù)值與上一次結(jié)果進行比較,并按結(jié)果進行相應(yīng)處理是TIM1按PWM模式輸出,輸出一個與同步時鐘同頻率的方波返回中斷圖11 中斷服務(wù)程序流程圖4、 系統(tǒng)測試測試結(jié)果及分析1、基本要求部分(1)數(shù)字信號發(fā)生器 仿真波形如下:圖12數(shù)字信號發(fā)生器的m序列仿真波形數(shù)據(jù)率測試數(shù)據(jù)為:表2 數(shù)據(jù)率測試數(shù)據(jù)理論數(shù)據(jù)率(kbps)1020304050測試值(kbps)10.0020.0029.9440.0050.00誤差

13、000.200%00理論數(shù)據(jù)率(kbps)60708090100測試值(kbps)59.9070.1080.2090.05100誤差0.167%0.143%0.250%0.005%0數(shù)據(jù)率誤差均不大于0.25%,小于1%,滿足題目要求。FPGA輸出的數(shù)字信號高電平為3.4V,是TTL電平,滿足題目要求。(2) 低通濾波器對低通濾波器截至頻率測試時,輸入信號為峰峰值為2V的正弦波100kHz低通濾波測試數(shù)據(jù)如下:表3 100kHz低通測試數(shù)據(jù)信號頻率(kHz)103050708090輸出電壓p-p(V)2.021.921.781.641.561.47信號頻率(kHz)100150200輸出電壓p

14、-p(V)1.391.090.872測得其截止頻率為99k,誤差為1%200kHz低通濾波測試數(shù)據(jù)如下:表4 200kHz低通測試數(shù)據(jù)信號頻率(kHz)1030507090110輸出電壓p-p(V)2.042.001.941.881.801.76信號頻率(kHz)130150170180190200輸出電壓p-p(V)1.681.601.541.481.441.42信號頻率(kHz)250400輸出電壓p-p(V)1.240.88測得其截止頻率為199k,誤差為0.5%500kHz低通濾波測試數(shù)據(jù)如下:表5 500kHz低通測試數(shù)據(jù)信號頻率(kHz)50100150200250300輸出電壓p

15、-p(V)2.001.981.921.821.761.68信號頻率(kHz)3504004505007001000輸出電壓p-p(V)1.601.521.431.351.070.816信號頻率(kHz)15002000輸出電壓p-p(V)0.5360.384測得其截止頻率為484k,誤差為3.2%經(jīng)LM6172濾波之后的信號經(jīng)反相端輸出,然后經(jīng)過一個反相放大器放大,測試得其放大倍數(shù)范圍為0.036倍,滿足0.24倍的題目要求。帶外衰減測試結(jié)果:四階濾波,帶外衰減遠大于40dB/十倍頻程,實際測試其十倍頻程處信號已經(jīng)mV級別,達到題目要求。(3) 偽隨機信號發(fā)生器其仿真波形如下圖13 偽隨機信號發(fā)生器m序列仿真波形數(shù)據(jù)率測得為9.98Mbps-10.02Mbps,誤差絕對值為0.2%,滿足題目要求輸出信號峰峰值測試結(jié)果:最低可到50mV,最大可到3V,達到TTL電平,滿足題目要求。(4) 發(fā)揮部分,眼圖的顯示5、 參

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論