數(shù)據(jù)采集的實(shí)現(xiàn)與存儲(chǔ)_第1頁(yè)
數(shù)據(jù)采集的實(shí)現(xiàn)與存儲(chǔ)_第2頁(yè)
數(shù)據(jù)采集的實(shí)現(xiàn)與存儲(chǔ)_第3頁(yè)
數(shù)據(jù)采集的實(shí)現(xiàn)與存儲(chǔ)_第4頁(yè)
數(shù)據(jù)采集的實(shí)現(xiàn)與存儲(chǔ)_第5頁(yè)
已閱讀5頁(yè),還剩9頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、數(shù)據(jù)采集的實(shí)現(xiàn)與存儲(chǔ)專業(yè):電子信息工程 學(xué)號(hào): 7020907013 學(xué)生姓名:劉以鵬指導(dǎo)教師:楊明健 章小寶摘要本次工程訓(xùn)練是設(shè)計(jì)和制作數(shù)據(jù)采集實(shí)現(xiàn)與存儲(chǔ)電路, 要求可以進(jìn)行模數(shù)和數(shù)模的轉(zhuǎn)換。以ADC0809和DAC0832作為核心元件,利用石英晶體多諧振蕩器為時(shí)鐘信號(hào),外接由函數(shù)發(fā)生器產(chǎn)生的信號(hào)作為模擬量, 結(jié)合數(shù)碼管的顯示以觀察模數(shù)轉(zhuǎn)換的結(jié)果, 并用示波器檢 驗(yàn)數(shù)模轉(zhuǎn)換結(jié)果。秉著從實(shí)際與實(shí)踐的觀點(diǎn)出發(fā) , 不斷求索,發(fā)現(xiàn)問(wèn)題,解決問(wèn)題。在熟悉 掌握數(shù)模、 模數(shù)轉(zhuǎn)換原理的基礎(chǔ)上, 設(shè)計(jì)出原理圖, 并結(jié)合自己所學(xué)到的 proteus 軟件仿真, 最終完善、確定設(shè)計(jì)方案。利用 Altium D

2、esiger 軟件繪制完整原理電路圖,使用可有的原 材料,選擇合適的元件封裝,繪制PCB板圖,并不斷改善,以達(dá)到精益求精,最終調(diào)試與驗(yàn)證成功。在這短短的兩周工程訓(xùn)練中,我學(xué)會(huì)了很多,收獲了很多,當(dāng)然了,也同時(shí)付出了很 多,正所謂“一份努力一份收獲”啊。對(duì)于本次的工程訓(xùn)練項(xiàng)目,我感觸頗多。這是一次將 理論付諸實(shí)踐的機(jī)會(huì), 培養(yǎng)了我們的實(shí)際動(dòng)手能力, 提高對(duì)知識(shí)的理解與應(yīng)用能力, 增強(qiáng)把 書(shū)本知識(shí)轉(zhuǎn)化為實(shí)際運(yùn)用能力。在整個(gè)實(shí)訓(xùn)過(guò)程中,不斷發(fā)現(xiàn)問(wèn)題、分析問(wèn)題和解決問(wèn)題, 強(qiáng)化了我們的實(shí)踐創(chuàng)新能力及獨(dú)立思考分析能力1第一章 數(shù)據(jù)采集的實(shí)現(xiàn)與存儲(chǔ)的概述 31.1 簡(jiǎn)介 31.2 基本原理及結(jié)構(gòu) 3第二章

3、 設(shè)計(jì)方案的選擇和確定 42.1 電路設(shè)計(jì)要求和指標(biāo) 42.2 電路設(shè)計(jì)方案確定 4第三章電路圖設(shè)計(jì) 43.1 各部分電路的設(shè)計(jì) 43.2 總電路原理圖 10第四章PCB 設(shè)計(jì) 104.1 PCB 圖 10第五章性能測(cè)試與分析 115 .1 性能測(cè)試115 . 2 性能分析11第一章 數(shù)據(jù)采集的實(shí)現(xiàn)與存儲(chǔ)的概述1.1簡(jiǎn)介此電路的作用是采集外接模擬信號(hào),把之轉(zhuǎn)換為數(shù)字信號(hào),又可將數(shù)字信號(hào)轉(zhuǎn)換為模擬信號(hào)。其利用 ADC0809和DAC0832為本電路的核心元件,分別實(shí)現(xiàn)模數(shù)轉(zhuǎn)換和數(shù) 模轉(zhuǎn)換。用石英多諧晶體振蕩器(經(jīng)過(guò)分頻)作為時(shí)鐘脈沖以驅(qū)動(dòng)ADC0809還外接2KHZ脈沖以啟動(dòng) ADC0809最終

4、用示波器觀測(cè)輸出的信號(hào)并與原外接模擬信號(hào)相對(duì) 比,以此兩信號(hào)比較為相同波形和頻率為測(cè)試成功標(biāo)志。1.2基本原理及結(jié)構(gòu)模擬信號(hào)經(jīng)采樣、保持、量化和編碼,轉(zhuǎn)化為數(shù)字信號(hào),由此實(shí)現(xiàn)了模數(shù)轉(zhuǎn)換部分;數(shù)字量是用代碼按數(shù)位組合起來(lái)表示的, 對(duì)于有權(quán)碼,每位代碼都有一定的位權(quán)。為了將數(shù) 字量轉(zhuǎn)換成模擬量,必須將每1位的代碼按其位權(quán)的大小轉(zhuǎn)換成相應(yīng)的模擬量,然后將這些模擬量相加,即可得到與數(shù)字量成正比的總模擬量,從而實(shí)現(xiàn)了數(shù)模轉(zhuǎn)換。本實(shí)驗(yàn)電路的結(jié)構(gòu)框圖如下:圖1.1第二章 設(shè)計(jì)方案的選擇和確定2.1 電路設(shè)計(jì)要求和指標(biāo)1、 利用4MHz晶振構(gòu)建石英晶體多諧振蕩器,并通過(guò)分頻得到500KHZ的方波信號(hào)(需 預(yù)留

5、測(cè)試端口)。2、在模數(shù)的信號(hào)輸出端接數(shù)碼管,以觀察結(jié)果。并且在輸出端并上排針,留出端口方 便以后使用。3、 在模數(shù)芯片的時(shí)鐘信號(hào)輸入端及START言號(hào)輸入端預(yù)留測(cè)試端。4、使用數(shù)模芯片恢復(fù)模數(shù)芯片轉(zhuǎn)換的模擬信號(hào)。5、在布局完成的基礎(chǔ)上完成實(shí)物焊接,制作實(shí)物時(shí)應(yīng)盡量避免使用跳線。各種功能模 的構(gòu)成器件盡量緊湊排布。6、在制作實(shí)物時(shí),電源及地需預(yù)留端口出來(lái),以便儀器的測(cè)量。2.2 電路設(shè)計(jì)方案確定根據(jù)上述設(shè)計(jì)要求, 結(jié)合現(xiàn)有元件, 利用集成芯片完成具有以上功能的電路。 選用 AD 轉(zhuǎn)換器實(shí)現(xiàn)模數(shù)的轉(zhuǎn)換,而知此AD轉(zhuǎn)換器需要有時(shí)鐘脈沖的驅(qū)動(dòng),所以也得構(gòu)建多諧振蕩電路, 再由計(jì)數(shù)器實(shí)現(xiàn)分頻獲得時(shí)鐘脈沖

6、。 數(shù)字量的表現(xiàn)可利用數(shù)碼管, 故譯碼電路也是其 中的一部分。然而,也要恢復(fù)出模擬信號(hào),因此就要有DA轉(zhuǎn)換器將數(shù)字信號(hào)轉(zhuǎn)換為模擬信號(hào)。電路的結(jié)構(gòu)可參照?qǐng)D 1.1 ,電路原理圖見(jiàn) 3.9 第三章 電路圖設(shè)計(jì)3.1 各部分電路的設(shè)計(jì)3.1.1 模數(shù)轉(zhuǎn)換電路利用轉(zhuǎn)換器 ADC0809 實(shí)現(xiàn)模數(shù)轉(zhuǎn)換。AD 轉(zhuǎn)換器的功能是將輸入的模擬電壓轉(zhuǎn)換為輸出的數(shù)字信號(hào),即將模擬量轉(zhuǎn)換成與 其成比例的數(shù)字量。一個(gè)完整的 AD 轉(zhuǎn)換過(guò)程,必須包括采樣、保持、量化、編碼四部分 電路。有三大指標(biāo):分辨率、轉(zhuǎn)換速度和轉(zhuǎn)換誤差。F面主要介紹ADC0809芯片的結(jié)構(gòu)和功能:START CLOCKEo01234567ADDA A

7、DDS ADDC ALE按制電略DA貧槍齡八賂模初開(kāi)殺2 2 2222 22OE十卩-卩g %: UNDH3. 1 ADCOSOP邏輯框圏ADC0809是采樣頻率為 8位的、以 逐次逼近原理進(jìn)行模一數(shù)轉(zhuǎn)換的器件。其內(nèi)部有一個(gè)8通道多路開(kāi)關(guān),它可以根據(jù)地址碼鎖存譯碼后的信號(hào),只選通8路模擬輸入信號(hào)中的一個(gè) 進(jìn)行A/D轉(zhuǎn)換。1主要特性1) 8路8位A/ D轉(zhuǎn)換器,即分辨率8位。2)具有轉(zhuǎn)換起??刂贫?。3)轉(zhuǎn)換時(shí)間為 100卩s4)單個(gè)+ 5V電源供電5) 模擬輸入電壓范圍0+ 5V,不需零點(diǎn)和滿刻 度校準(zhǔn)。6) 工作溫度范圍為-40+ 85攝氏度7)低功耗,約 15mW2.內(nèi)部結(jié)構(gòu)ADC0809是

8、CMOS單片型逐次逼近式A/ D轉(zhuǎn)換器,內(nèi)部結(jié)構(gòu)如圖3.3所示,它由8路模擬開(kāi)關(guān)、地址鎖存與譯碼器、比較器、8位開(kāi)關(guān)樹(shù)型 D/A轉(zhuǎn)換器、逐 次逼近3 外部特性(引腳功能)営T二 S因 呂I 皆 33VTV ISOKII 匚1 I 畧28 27 26 25 24 23 22 21 20 19 18 17 16 1541113290765IMO 3 1KI 9RI 鷹I CHIABC0809圖3.2ADC0809芯片有28條引腳,采用雙列直插式封裝,如圖3.2所示。下面說(shuō)明各引腳功能。IN0IN7 : 8路模擬量輸入端。2-12-8 : 8位數(shù)字量輸出端。ADDA ADDB ADDC 3位地址輸入

9、線,用于選通 8路模擬輸入中 的一路ALE:地址鎖存允許信號(hào),輸入,高電平有效。START A/ D轉(zhuǎn)換啟動(dòng)脈沖輸入 端,輸入一個(gè)正脈沖(至少 100ns寬)使其啟 動(dòng)(脈沖上升沿使0809復(fù)位,下降沿啟動(dòng) A/D轉(zhuǎn)換)。EOC A / D轉(zhuǎn)換結(jié)束信號(hào),輸出,當(dāng)A/ D轉(zhuǎn)換結(jié)束時(shí), 此端輸出一個(gè)高電平(轉(zhuǎn)換期間一直為 低電平)。OE數(shù)據(jù) 輸出允許信號(hào),輸入,高電平有效。當(dāng)A/ D轉(zhuǎn)換結(jié)束時(shí),此端輸入一個(gè)高電平,才 能打開(kāi)輸出三態(tài)門(mén),輸出數(shù)字量。CLK時(shí)鐘脈沖輸入端。要求時(shí)鐘頻率不高于 640KHZ。REF ( +)、REF (-):基準(zhǔn)電壓。Vcc :電源,單一+5V。GND 地。ADC080

10、9的工作過(guò)程是:首先輸入3位地址,并使 ALE=1,將地址存入地址鎖存器中。此地址經(jīng)譯碼選通 8路模擬輸入之一到 比較器。START上升沿將逐次逼近寄存 器復(fù)位。下降沿啟 動(dòng)A / D轉(zhuǎn)換,之后 EOC輸出信號(hào)變低,指示轉(zhuǎn)換正在進(jìn)行。直到A/ D轉(zhuǎn)換完成,EOC變?yōu)楦唠娖?,指示A/ D轉(zhuǎn)換結(jié)束,結(jié)果 數(shù)據(jù)已存入鎖存器,這個(gè)信號(hào)可用作 中斷申請(qǐng)。當(dāng) OE輸入高電平 時(shí),輸出三態(tài)門(mén)打開(kāi),轉(zhuǎn)換結(jié)果的數(shù)字量 輸出到數(shù)據(jù)總 線上。3.1.2 數(shù)模轉(zhuǎn)換電路利用DAC0832實(shí)現(xiàn)數(shù)模轉(zhuǎn)換。DA轉(zhuǎn)換器的功能是將數(shù)字信號(hào)轉(zhuǎn)換為模擬信號(hào),它是一種將二進(jìn)制數(shù)字量形式的離散信號(hào) 轉(zhuǎn)換成以標(biāo)準(zhǔn)量(或參考量)為基準(zhǔn)的模擬

11、量的轉(zhuǎn)換器,簡(jiǎn)稱DAC或D/A轉(zhuǎn)換器。最常見(jiàn)的數(shù)模轉(zhuǎn)換器是將并行二進(jìn)制的數(shù)字量轉(zhuǎn)換為直流電壓或直流電流,數(shù)模轉(zhuǎn)換器電路還用在利用反饋技術(shù)的模數(shù)轉(zhuǎn)換器設(shè)計(jì)中。D/A轉(zhuǎn)換器由數(shù)碼寄。數(shù)字量以串存器、模擬 電子開(kāi)關(guān)電路、解碼網(wǎng)絡(luò)、求和電路及基準(zhǔn)電壓幾部分組成行或并行方式 輸入、存儲(chǔ)于數(shù)碼寄存器中,數(shù)字寄存器輸出的各位數(shù)碼,分別控制對(duì)應(yīng)位的模擬電 子開(kāi)關(guān),使數(shù)碼為1的位在位權(quán)網(wǎng)絡(luò)上產(chǎn)生與其權(quán)值成正比的 電流值,詢1 I1312再由求和電路 將各種權(quán)值相加,即得到數(shù)字量對(duì)應(yīng)的模擬量。其結(jié)構(gòu)如圖3.3所示: rt. 】|左m1氏TH. /iMT| -/ K, I DAC0832內(nèi)部結(jié)構(gòu)資料:芯片內(nèi)有兩級(jí)輸

12、入寄存器,使DAC0832具備雙緩沖、單緩沖和直通三種輸入方式,以便適于各種電路的需要(如要求多路 D/A異步輸入、同步轉(zhuǎn)換等)。D/A轉(zhuǎn)換結(jié)果采用電流形式輸出。要是需要相應(yīng)的模擬信號(hào),可通過(guò)一個(gè)高輸入阻抗的線性運(yùn)算放大器實(shí)現(xiàn)這個(gè)供功能。運(yùn)放的反饋電阻可通過(guò)RFB端引用片內(nèi)固有電阻,還可以外接。DAC0832引腳功能說(shuō)明:DI0DI7 :數(shù)據(jù)輸入線,TLL電平。ILE :數(shù)據(jù)鎖存允許控制信號(hào)輸入線,高電平有效。CS片選信號(hào)輸入線,低電平有效。WR1為輸入寄存器的寫(xiě)選通信號(hào)。XFER數(shù)據(jù)傳送控制信號(hào)輸入線,低電平有效。WR2為DAC寄存器寫(xiě)選通輸入線。loutl:電流輸出線。當(dāng)輸入全為1時(shí)lou

13、tl最大。Iout2:電流輸出線。其值與Iout1之和為一常數(shù)。 Rfb:反饋信號(hào)輸入線,芯片內(nèi)部有反饋電阻.Vcc:電源輸入線 (+5v+15v)Vref:基準(zhǔn)電壓輸入線(-10v+10v)AGND模擬地,摸擬信號(hào)和基準(zhǔn)電源的參考地.DGND數(shù)字地,兩種地線在基準(zhǔn)電源處共地比較好 3.1.3多諧振蕩器及分頻電路1. 多諧振蕩器作用是產(chǎn)生脈沖,它是一種無(wú)需外加激勵(lì)信號(hào)情況下,能自動(dòng)將直流電源能源轉(zhuǎn)換成特定頻率和幅度的正弦交流信號(hào)的電路裝置。由于石英晶體具有壓電效應(yīng),因而外加電壓信號(hào)頻率等于晶片固有機(jī)械諧振頻率時(shí),晶體表現(xiàn)振動(dòng)幅度最強(qiáng);同時(shí)當(dāng)晶片兩側(cè)感應(yīng)出的電荷最多時(shí),外電路中的電流就會(huì)最大,表

14、現(xiàn)出一種電諧振現(xiàn)象。所以,我們采用石英晶體構(gòu)建晶振電路。石英晶體電抗特性如圖 3.1所示:Gi101Vo9圖3.4圖3.5采用串聯(lián)式,石英晶體工作在串聯(lián)諧振頻率f0下,只有頻率為f0的信號(hào)才能通過(guò),滿足振蕩條件。因此,電路的振蕩頻率 =f0,與外接元件 R、C無(wú)關(guān),所以這種電路振蕩頻 率的穩(wěn)定度很高。2.分頻電路是將由多諧振蕩器產(chǎn)生的脈沖進(jìn)行分頻以得到合適頻率的時(shí)鐘脈沖。本電 路是利用74163(4位二進(jìn)制同步計(jì)數(shù)器)實(shí)現(xiàn) 8分頻,時(shí)序波形如下圖#圖3.6#簡(jiǎn)單介紹 74163芯片的結(jié)構(gòu)和功能,見(jiàn)下圖3.7和表3.1圖3.7輸A輪出CR LD CTp CPt CPDo DiDa DsQoQiQ

15、iQ30xxxtxXXX0D0ClOXXdodith*dodi(h也1iI1tXXXX計(jì)鎮(zhèn)1I0xtXXXX保持1iX0XXXXX表3.13.1.4 譯碼電路當(dāng)ADC轉(zhuǎn)換器將模擬量轉(zhuǎn)換為數(shù)字量后,要有數(shù)碼管用來(lái)顯示數(shù)字,就必須有譯碼器來(lái)驅(qū)動(dòng) 數(shù)碼管。本次實(shí)驗(yàn)是用的是共陽(yáng)極數(shù)碼管,我們就采用74247譯碼芯片來(lái)驅(qū)動(dòng)此數(shù)碼管。其結(jié)構(gòu)和一般使用電路如下"加山如b bo b_ ; S2 I 7(0(Aj I I BI/RBORBI A5UX)LT RBI BI a6 1®b丄-JbAc12 oA,741.S247 肯亠_11 1| o_£A1eC=lI-17 c%r1GN

16、Dg+svId 時(shí)乩 4丄S30012*7圖3.84線段譯碼器/驅(qū)動(dòng)器(BCD輸入,0C,15V) 簡(jiǎn)要說(shuō)明:247為集電極開(kāi)路輸出的 BCD段譯碼器/驅(qū)動(dòng)器,輸出端(ag)為低電平有效,可直接驅(qū)動(dòng)指示燈或共陽(yáng)極 LED。當(dāng)要求輸入015時(shí),消隱輸入(/BI)應(yīng)為高電平或開(kāi)路,對(duì)于輸出0時(shí)還要求脈沖消隱輸入 (/RBI) 為高電平或開(kāi)路。當(dāng) BI 為低電電平,不管其它輸入端狀態(tài)如何,ag 均為截止態(tài)。當(dāng)/RBI和地址端(AD)均為低電平,并且燈測(cè)試 (/LT)為高電平時(shí),ag均為截止 態(tài),脈沖消隱輸出 (/RBO) 為低電平。當(dāng) BI 為高電平開(kāi)路時(shí), /L T 的低電平可使 ag 為低電平。

17、引出段符號(hào):A,B,C,D 譯碼地址輸入端/BI , /RBO 消隱輸入(低電平有效) 脈沖消隱輸出(低電平有效)/LT 燈測(cè)試輸入端(低電平有效)/RBI 脈沖消隱輸入端(低電平有效)ag 段輸出(低電平有效)3.2 總電路原理圖綜合上述的各 部分電路, 可以繪制完整 的數(shù)據(jù)采集的實(shí)現(xiàn)與存儲(chǔ)的總電 路圖, 見(jiàn) 圖 3.9.第四章 PCB 設(shè)計(jì)4.1 PCB 圖利用 Protel 軟件將原理圖導(dǎo)入 PCB Document 中,按要求進(jìn)行排線,繪制成 PCB 圖。見(jiàn)圖 4.1.第五章 性能測(cè)試與分析5 . 1 性能測(cè)試5.1.1 整體測(cè)試經(jīng)過(guò)正確裝配焊接,接通電源并外接模擬信號(hào),數(shù)碼管會(huì)隨不同

18、的模擬信號(hào)而有不同的數(shù)值,在ADC0832的輸出端由示波器觀測(cè)到波形及頻率與原模擬信號(hào)一致。故本電路設(shè)計(jì)正確。5.1.2 各部分電路測(cè)試(1)多諧振蕩器產(chǎn)生 4MH Z 的方波信號(hào);(2)經(jīng) 74163 芯片分頻后輸出 500KH Z 方波信號(hào);(3) 由INO 口輸入小的模擬信號(hào),經(jīng)過(guò) LM358芯片后幅度放大10倍左右;(4)輸入模擬信號(hào)后,數(shù)碼管顯示數(shù)字,并隨模擬信號(hào)的波形和幅度變化而變化;(5)DAC芯片輸出端輸出與 ADC芯片輸入端輸入的模擬信號(hào)一致。5 . 2 性能分析本次實(shí)驗(yàn)電路都采用了集成電路芯塊, 元器件本身具有良好的穩(wěn)定性, 芯片間在電路 上是相連接的, 但并不會(huì)有很大的干擾, 所以經(jīng)過(guò)模數(shù)轉(zhuǎn)換和數(shù)模轉(zhuǎn)換后, 信號(hào)都能很好的 輸出, 即最終恢復(fù)了模擬信號(hào)。 然而, 有些誤差還是存在的, 如電阻器的阻值色環(huán)讀數(shù)與其 實(shí)際阻值間的誤差, 時(shí)鐘脈沖不是很完好的方波脈沖。 這些誤差是不可避免的, 但是瑕不掩 玉,只要在實(shí)驗(yàn)的過(guò)程保持嚴(yán)謹(jǐn)、認(rèn)真的態(tài)度,都能圓滿的完成這次工程訓(xùn)練的任務(wù)。12附錄:元件清單名稱數(shù)量名稱數(shù)量單排針10L

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論