單片機(jī)的直流電機(jī)調(diào)速系統(tǒng)設(shè)計(jì)說明_第1頁
單片機(jī)的直流電機(jī)調(diào)速系統(tǒng)設(shè)計(jì)說明_第2頁
單片機(jī)的直流電機(jī)調(diào)速系統(tǒng)設(shè)計(jì)說明_第3頁
單片機(jī)的直流電機(jī)調(diào)速系統(tǒng)設(shè)計(jì)說明_第4頁
單片機(jī)的直流電機(jī)調(diào)速系統(tǒng)設(shè)計(jì)說明_第5頁
已閱讀5頁,還剩21頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、. . . . 畢業(yè)設(shè)計(jì)(論文)題 目基于單片機(jī)的直流電機(jī)調(diào)速設(shè)計(jì)教學(xué)點(diǎn) 專 業(yè) 年 級(jí) 姓 名 指導(dǎo)教師定稿日期: 2011 年 6月 1 日目 錄前言11.總體設(shè)計(jì)方案2(一)方案一:PWM波調(diào)速2(二)方案二:晶閘管調(diào)速22.單元模塊設(shè)計(jì)3(一) H橋驅(qū)動(dòng)電路設(shè)計(jì)方案3(二)調(diào)速設(shè)計(jì)方案5(三)系統(tǒng)硬件電路設(shè)計(jì)61.電源電路62H橋驅(qū)動(dòng)電路63基于霍爾傳感器的測速模塊74LCD顯示模塊8(四)調(diào)速設(shè)計(jì)模塊91PWM波軟件軟件設(shè)計(jì)92測速軟件設(shè)計(jì)123.系統(tǒng)功能調(diào)試13(一)調(diào)試軟件介紹13(二)直流電機(jī)的調(diào)速功能仿真141調(diào)速前的波形圖142調(diào)速后的波形圖14(三)電機(jī)速度的測量并顯示功

2、能仿真15(四)系統(tǒng)的電路原理圖15(五)系統(tǒng)的PCB圖164.設(shè)計(jì)總結(jié)175.參考文獻(xiàn)17附錄1724 / 26前言在現(xiàn)代電子產(chǎn)品中,自動(dòng)控制系統(tǒng),電子儀器設(shè)備、家用電器、電子玩具等等方面,直流電機(jī)都得到了廣泛的應(yīng)用。大家熟悉的錄音機(jī)、電唱機(jī)、錄相機(jī)、電子計(jì)算機(jī)等,都不能缺少直流電機(jī)。所以直流電機(jī)的控制是一門很實(shí)用的技術(shù)。直流電機(jī),大體上可分為四類:幾相繞組的步進(jìn)電機(jī)、永磁式換流器直流電機(jī)、伺服電機(jī)、 兩相低電壓交流電機(jī)直流電機(jī)具有良好的啟動(dòng)性能和調(diào)速特性,它的特點(diǎn)是啟動(dòng)轉(zhuǎn)矩大,最大轉(zhuǎn)矩大,能在寬廣的圍平滑、經(jīng)濟(jì)地調(diào)速,轉(zhuǎn)速控制容易,調(diào)速后效率很高。與交流調(diào)速相比,直流電機(jī)結(jié)構(gòu)復(fù)雜,生產(chǎn)成本

3、高,維護(hù)工作量大。隨著大功率晶體管的問世以與矢量控制技術(shù)的成熟,使得矢量控制變頻技術(shù)獲得迅猛發(fā)展,從而研制出各種類型、各種功率的變頻調(diào)速裝置,并在工業(yè)上得到廣泛應(yīng)用。適用圍:直流調(diào)速器在數(shù)控機(jī)床、造紙印刷、紡織印染、光纜線纜設(shè)備、包裝機(jī)械、電工機(jī)械、食品加工機(jī)械、橡膠機(jī)械、生物設(shè)備、印制電路板設(shè)備、實(shí)驗(yàn)設(shè)備、焊接切割、輕工機(jī)械、物流輸送設(shè)備、機(jī)車車輛、醫(yī)療設(shè)備、通訊設(shè)備、雷達(dá)設(shè)備、衛(wèi)星地面接受系統(tǒng)等行業(yè)廣泛應(yīng)用。高性能的交流傳動(dòng)應(yīng)用比重逐年上升,在工業(yè)部門中,用可調(diào)速交流傳動(dòng)取代直流傳動(dòng)將成為歷史的必然。 盡管如此,我認(rèn)為設(shè)計(jì)一個(gè)直流電機(jī)調(diào)速系統(tǒng),不論是從學(xué)習(xí)還是實(shí)踐的角度,對(duì)一名電

4、子信息工程專業(yè)的大學(xué)生都會(huì)產(chǎn)生積極地作用,有利于提高學(xué)習(xí)熱情。1.總體設(shè)計(jì)方案(一)方案一:PWM波調(diào)速采用由達(dá)林頓管組成的H型PWM電路(圖11)。用單片機(jī)控制達(dá)林頓管使之工作在占空比可調(diào)的開關(guān)狀態(tài),精確調(diào)整電動(dòng)機(jī)轉(zhuǎn)速。這種電路由于工作在管子的飽和截止模式下,效率非常高;H型電路保證了可以簡單地實(shí)現(xiàn)轉(zhuǎn)速和方向的控制;電子開關(guān)的速度很快,穩(wěn)定性也極佳,是一種廣泛采用的PWM調(diào)速技術(shù)。我們采用了定頻調(diào)寬方式,因?yàn)椴捎眠@種方式,電動(dòng)機(jī)在運(yùn)轉(zhuǎn)時(shí)比較穩(wěn)定;并且在采用單片機(jī)產(chǎn)生PWM脈沖的軟件實(shí)現(xiàn)上比較方便。且對(duì)于直流電機(jī),采用軟件延時(shí)所產(chǎn)生的定時(shí)誤差在允許圍。圖1 PWM波調(diào)速電路其結(jié)構(gòu)圖如圖12所示

5、:單片機(jī)(速度的測量計(jì)算、輸入設(shè)定與系統(tǒng)控制)單片機(jī)(PID運(yùn)算控制器、PWM模擬發(fā)生器)電機(jī)速度采集電路電機(jī)驅(qū)動(dòng)電路鍵 盤顯示器圖2 電機(jī)調(diào)速系統(tǒng)框圖(二)方案二:晶閘管調(diào)速采用閘流管或汞弧整流器的離子拖動(dòng)系統(tǒng)是最早應(yīng)用靜止式變流裝置供電的直流電動(dòng)機(jī)調(diào)速系統(tǒng)。1957年,晶閘管(俗稱“可控硅”)問世,到了60年代,已生產(chǎn)出成套的晶閘管整流裝置,并應(yīng)用于直流電動(dòng)機(jī)調(diào)速系統(tǒng),即晶閘管可控整流器供電的直流調(diào)速系統(tǒng)(V-M系統(tǒng))。如圖1-3,VT是晶閘管可控整流器,通過調(diào)節(jié)觸發(fā)裝置GT的控制電壓來移動(dòng)觸發(fā)脈沖的相位,即可改變整流電壓,從而實(shí)現(xiàn)平滑調(diào)速。晶閘管整流裝置不僅在經(jīng)濟(jì)性和可靠性上都有很大提高

6、,而且在技術(shù)性能上也顯示出較大的優(yōu)越性;晶閘管可控整流器的功率放大倍數(shù)在以上,其門極電流可以直接用晶體管來控制,不再像直流發(fā)電機(jī)那樣需要較大功率的放大器。在控制作用的快速性上,變流機(jī)組是秒級(jí),而晶閘管整流器是毫秒級(jí),這將大大提高系統(tǒng)的動(dòng)態(tài)性能。因此,在60年代到70年代,晶閘管可控整流器供電的直流調(diào)速系統(tǒng)(V-M系統(tǒng))代替旋轉(zhuǎn)變流機(jī)組直流電動(dòng)機(jī)調(diào)速系統(tǒng)(G-M系統(tǒng)),得到了廣泛的應(yīng)用。但是由于晶閘管的單向?qū)щ娦?,它不允許電流反向,給系統(tǒng)的可逆運(yùn)行造成困難;晶閘管對(duì)過電壓、過電流和過高的與都十分敏感,若超過允許值會(huì)在很短的時(shí)間損壞器件。另外,由諧波與無功功率引起電網(wǎng)電壓波形畸變,殃與附近的用電設(shè)

7、備,造成“電力公害”,因此必須添置無功補(bǔ)償和諧波濾波裝置。圖3 晶閘管可控整流器供電的直流調(diào)速系統(tǒng)(V-M系統(tǒng))兼于方案二調(diào)速特性優(yōu)良、調(diào)整平滑、調(diào)速圍廣、過載能力大,因此本設(shè)計(jì)采用方案一。2.單元模塊設(shè)計(jì)(一) H橋驅(qū)動(dòng)電路設(shè)計(jì)方案圖2-1所示的H橋式電機(jī)驅(qū)動(dòng)電路包括4個(gè)三極管和一個(gè)電機(jī),電路得名于“H橋驅(qū)動(dòng)電路”是因?yàn)樗男螤羁崴谱帜窰。如圖1.1-1所示,要使電機(jī)運(yùn)轉(zhuǎn),必須導(dǎo)通對(duì)角線上的一對(duì)三極管。根據(jù)不同三極管對(duì)的導(dǎo)通情況,電流可能會(huì)從左至右或從右至左流過電機(jī),從而控制電機(jī)的轉(zhuǎn)向。圖4H橋驅(qū)動(dòng)電路要使電機(jī)運(yùn)轉(zhuǎn),必須使對(duì)角線上的一對(duì)三極管導(dǎo)通。例如,如圖2-2所示,當(dāng)Q1管和Q4管導(dǎo)通時(shí)

8、,電流就從電源正極經(jīng)Q1從左至右穿過電機(jī),然后再經(jīng) Q4回到電源負(fù)極。按圖中電流箭頭所示,該流向的電流將驅(qū)動(dòng)電機(jī)順時(shí)針轉(zhuǎn)動(dòng)。當(dāng)三極管Q1和Q4導(dǎo)通時(shí),電流將從左至右流過電機(jī),從而驅(qū)動(dòng)電機(jī)按特定方向 轉(zhuǎn)動(dòng)(電機(jī)周圍的箭頭指示為順時(shí)針方向)。圖5H橋驅(qū)動(dòng)電機(jī)順時(shí)針轉(zhuǎn)動(dòng)圖2-3所示為另一對(duì)三極管Q2和Q3導(dǎo)通的情況,電流將從右至左流過電機(jī)。當(dāng)三極管Q2和Q3導(dǎo)通時(shí),電流將從右至左流過電機(jī),從而驅(qū)動(dòng)電機(jī)沿另一方向轉(zhuǎn)動(dòng)(電機(jī)周圍的箭頭表示為逆時(shí)針方向)。圖6H橋驅(qū)動(dòng)電機(jī)逆時(shí)針轉(zhuǎn)動(dòng)(二) 調(diào)速設(shè)計(jì)方案調(diào)速采用PWM(PulseWidthModulation)脈寬調(diào)制,工作原理:通過產(chǎn)生矩形波,改變占空比,

9、以達(dá)到調(diào)整脈寬的目的。PWM的定義:脈寬調(diào)制(PWM)是利用微處理器的數(shù)字輸出來對(duì)模擬電路進(jìn)行控制的一種非常有效的技術(shù),廣泛應(yīng)用在從測量、通信到功率控制與變換的許多領(lǐng)域中。模擬信號(hào)的值可以連續(xù)變化,其時(shí)間和幅度的分辨率都沒有限制。9V電池就是一種模擬器件,因?yàn)樗妮敵鲭妷翰⒉痪_地等于9V,而是隨時(shí)間發(fā)生變化,并可取任何實(shí)數(shù)值。與此類似,從電池吸收的電流也不限定在一組可能的取值圍之。模擬信號(hào)與數(shù)字信號(hào)的區(qū)別在于后者的取值通常只能屬于預(yù)先確定的可能取值集合之,例如在0V,5V這一集合中取值。模擬電壓和電流可直接用來進(jìn)行控制,如對(duì)汽車收音機(jī)的音量進(jìn)行控制。在簡單的模擬收音機(jī)中,音量旋鈕被連接到一個(gè)

10、可變電阻。擰動(dòng)旋鈕時(shí),電阻值變大或變??;流經(jīng)這個(gè)電阻的電流也隨之增加或減少,從而改變了驅(qū)動(dòng)揚(yáng)聲器的電流值,使音量相應(yīng)變大或變小。與收音機(jī)一樣,模擬電路的輸出與輸入成線性比例。盡管模擬控制看起來可能直觀而簡單,但它并不總是非常經(jīng)濟(jì)或可行的。其中一點(diǎn)就是,模擬電路容易隨時(shí)間漂移,因而難以調(diào)節(jié)。能夠解決這個(gè)問題的精密模擬電路可能非常龐大、笨重(如老式的家庭立體聲設(shè)備)和昂貴。模擬電路還有可能嚴(yán)重發(fā)熱,其功耗相對(duì)于工作元件兩端電壓與電流的乘積成正比。模擬電路還可能對(duì)噪聲很敏感,任何擾動(dòng)或噪聲都肯定會(huì)改變電流值的大小。通過以數(shù)字方式控制模擬電路,可以大幅度降低系統(tǒng)的成本和功耗。此外,許多微控制器和DSP

11、已經(jīng)在芯片上包含了PWM控制器,這使數(shù)字控制的實(shí)現(xiàn)變得更加容易了。(三)系統(tǒng)硬件電路設(shè)計(jì)1.電源電路(1)芯片介紹78XX,XX就代表它所輸出的電壓值,能降低電壓4-5V電子產(chǎn)品中常見到的三端穩(wěn)壓集成電路有正電壓輸出的78××系列和負(fù)電壓輸出的79××系列。故名思義,三端IC是指這種穩(wěn)壓用的集成電路只有三條引腳輸出,分別是輸入端、接地端和輸出端。用78/79系列三端穩(wěn)壓IC來組成穩(wěn)壓電源所需的外圍元件極少,電路部還有過流、過熱與調(diào)整管的保護(hù)電路。該系列集成穩(wěn)壓IC型號(hào)中的78或79后面的數(shù)字代表該三端集成穩(wěn)壓電路的輸出電壓,如7806表示輸出電壓為正6V

12、,7909表示輸出電壓為負(fù)9V。有時(shí)在數(shù)字78或79后面還有一個(gè)M或L,如78M12或79L24,用來區(qū)別輸出電流和封裝形式等,其中78L調(diào)系列的最大輸出電流為100mA,78M系列最大輸出電流為1A,78系列最大輸出電流為1.5A。在實(shí)際應(yīng)用中,應(yīng)在三端集成穩(wěn)壓電路上安裝足夠大的散熱器(當(dāng)然小功率的條件下不用)。當(dāng)穩(wěn)壓管溫度過高時(shí),穩(wěn)壓性能將變差,甚至損壞。(2)電路原理圖電源電路采用78系列芯片產(chǎn)生+5V、+15V。電路圖如圖2-4:圖7 78系列的電源電路2H橋驅(qū)動(dòng)電路基于三極管的使用機(jī)理和特性,在驅(qū)動(dòng)電機(jī)中采用H橋功率驅(qū)動(dòng)電路,H橋功率驅(qū)動(dòng)電路可應(yīng)用于步進(jìn)電機(jī)、交流電機(jī)與直流電機(jī)等的驅(qū)

13、動(dòng)永磁步進(jìn)電機(jī)或混合式步進(jìn)電機(jī)的勵(lì)磁繞組都必須用雙極性電源供電,也就是說繞組有時(shí)需正向電流,有時(shí)需反向電流,這樣繞組電源需用H橋驅(qū)動(dòng)。直流電機(jī)控制使用H橋驅(qū)動(dòng)電路(圖2-5),當(dāng)PWM1為低電平,通過對(duì)PWM2輸出占空比不同的矩形波使三極管Q1、Q6同時(shí)導(dǎo)通Q5截止,從而實(shí)現(xiàn)電機(jī)正向轉(zhuǎn)動(dòng)以與轉(zhuǎn)速的控制;同理,當(dāng)PWM2為高電平,通過對(duì)PWM1輸出占空比不同的矩形波使三極管Q1、Q6同時(shí)導(dǎo)通,Q6截止,從而實(shí)現(xiàn)電機(jī)反向轉(zhuǎn)動(dòng)以與轉(zhuǎn)速的控制。圖8 H橋的電機(jī)驅(qū)動(dòng)電路3基于霍爾傳感器的測速模塊(1)霍爾傳感器的工作原理霍爾效應(yīng):在一塊半導(dǎo)體薄片上,其長度為l,寬度為b,厚度為d,當(dāng)它被置于磁感應(yīng)強(qiáng)度為

14、B的磁場中,如果在它相對(duì)的兩邊通以控制電流I,且磁場方向與電流方向正交,則在半導(dǎo)體另外兩邊將產(chǎn)生一個(gè)大小與控制電流I和磁感應(yīng)強(qiáng)度B乘積成正比的電勢UH,即UH=KHIB,其中kH為霍爾元件的靈敏度。該電勢稱為霍爾電勢,半導(dǎo)體薄片就是霍爾元件。工作原理:霍爾開關(guān)集成電路中的信號(hào)放大器將霍爾元件產(chǎn)生的幅值隨磁場強(qiáng)度變化的霍爾電壓UH放大后再經(jīng)信號(hào)變換器、驅(qū)動(dòng)器進(jìn)行整形、放大后輸出幅值相等、頻率變化的方波信號(hào)。信號(hào)輸出端每輸出一個(gè)周期的方波,代表轉(zhuǎn)過了一個(gè)齒。單位時(shí)間輸出的脈沖數(shù)N,因此可求出單位時(shí)間的速度VNT。(2)霍爾傳感器的電路原理圖圖9 霍爾傳感器的測速電路4LCD顯示模塊(1)1602芯

15、片介紹1602液晶模塊部的字符發(fā)生存儲(chǔ)器(CGROM)已經(jīng)存儲(chǔ)了160個(gè)不同的點(diǎn)陣字符圖形,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫、常用的符號(hào)、和日文假名等,每一個(gè)字符都有一個(gè)固定的代碼,比如大寫的英文字母“A”的代碼是01000001B(41H),顯示時(shí)模塊把地址41H中的點(diǎn)陣字符圖形顯示出來,我們就能看到字母“A”。因?yàn)?602識(shí)別的是ASCII碼,試驗(yàn)可以用ASCII碼直接賦值,在單片機(jī)編程中還可以用字符型常量或變量賦值,如'A。1602采用標(biāo)準(zhǔn)的16腳接口,其中:第1腳:VSS為電源地第2腳:VDD接5V電源正極第3腳:V0為液晶顯示器對(duì)比度調(diào)整端,接正電源時(shí)對(duì)比度最弱,接地

16、電源時(shí)對(duì)比度最高(對(duì)比度過高時(shí)會(huì)產(chǎn)生“鬼影”,使用時(shí)可以通過一個(gè)10K的電位器調(diào)整對(duì)比度)。第4腳:RS為寄存器選擇,高電平1時(shí)選擇數(shù)據(jù)寄存器、低電平0時(shí)選擇指令寄存器。第5腳:RW為讀寫信號(hào)線,高電平(1)時(shí)進(jìn)行讀操作,低電平(0)時(shí)進(jìn)行寫操作。第6腳:E(或EN)端為使能(enable)端。第714腳:D0D7為8位雙向數(shù)據(jù)端。第1516腳:空腳或背燈電源。15腳背光正極,16腳背光負(fù)極。(2)電路原理圖圖10 LCD顯示電路(四) 調(diào)速設(shè)計(jì)模塊1PWM波軟件軟件設(shè)計(jì)程序流程圖:產(chǎn)生矩形波開始按鍵查詢OPEN是否按下時(shí)定時(shí)器T0開始計(jì)時(shí)Add_speed是否按下初始化是是增大矩形波占空比S

17、ub_speed是否按下是減小矩形波占空比Swap或close是否按下改變轉(zhuǎn)向或關(guān)閉電機(jī)圖11 軟件電機(jī)控制的方框圖通過控制總中斷使能EA控制電機(jī)的開關(guān),同時(shí)使能對(duì)霍爾傳感器輸出的方波在單位時(shí)間脈沖個(gè)數(shù)的計(jì)數(shù)。其中定時(shí)器T0,T1分別對(duì)脈沖的寬度、霍爾元件輸出的脈沖數(shù)對(duì)應(yīng)的1秒時(shí)間定時(shí)。對(duì)脈沖寬度的調(diào)整是通過改變高電平的定時(shí)長度,由變量high控制。變量change、 sub_speed 、add_speed分別實(shí)現(xiàn)電機(jī)的轉(zhuǎn)向、加速、減速。/*通過按鍵實(shí)現(xiàn)對(duì)電機(jī)開關(guān)、調(diào)速、轉(zhuǎn)向的控制的程序*/ void motor_control() if(open = 1)EA = 1;if(close =

18、 1)EA = 0;if(swap = 1) change = change;while(swap != 0) if(sub_speed = 1) high+; if(high = 30) EA=0; while(sub_speed != 0) if(add_speed = 1) high-; if(high = 5) high = 5; while(add_speed != 0) 2測速軟件設(shè)計(jì)開始初始化OPEN是否按下定時(shí)器T1開始計(jì)時(shí)對(duì)單位時(shí)間內(nèi)的脈沖計(jì)數(shù) N根據(jù)公式計(jì)算出電機(jī)的速度液晶顯示電機(jī)速度圖12 軟件測速的方框圖/*T1中斷服務(wù)程序*單位時(shí)間(S)方波的個(gè)數(shù)*/void tim

19、e1_int(void) interrupt 3 count_speed+;if(count_speed = 20) count_speed = 0;num_display = num_medium;num_medium = 0;3.系統(tǒng)功能調(diào)試(一)調(diào)試軟件介紹Protel99SE是應(yīng)用于Windows9X/2000/NT操作系統(tǒng)下的EDA設(shè)計(jì)軟件,采用設(shè)計(jì)庫管理模式,可以進(jìn)行聯(lián)網(wǎng)設(shè)計(jì),具有很強(qiáng)的數(shù)據(jù)交換能力和開放性與3D模擬功能,可以完成電路原理圖設(shè)計(jì),印制電路板設(shè)計(jì)和可編程邏輯器件設(shè)計(jì)等工作,可以設(shè)計(jì)32個(gè)信號(hào)層,16個(gè)電源-地層和16個(gè)機(jī)加工層。按照系統(tǒng)功能來劃分,Protel99se

20、主要包含6個(gè)功能模塊:電路工程設(shè)計(jì)部分、印刷電路板設(shè)計(jì)系統(tǒng)、自動(dòng)布線系統(tǒng)、電路模擬仿真系統(tǒng)、可編程邏輯設(shè)計(jì)系統(tǒng)、高級(jí)信號(hào)完整性分析系統(tǒng)。存儲(chǔ)器和特殊功能寄存器的存取、中斷功能、靈活的指針KeilC51軟件提供豐富的庫函數(shù)和功能強(qiáng)大的集成開發(fā)調(diào)試工具,全Windows界面。另外重要的一點(diǎn),只要看一下編譯后生成的匯編代碼,就能體會(huì)到KeilC51生成的目標(biāo)代碼效率非常之高,多數(shù)語句生成的匯編代碼很緊湊,容易理解。在開發(fā)大型軟件時(shí)更能體現(xiàn)高級(jí)語言的優(yōu)勢。KEIL C51編譯器由uVision2集成開發(fā)環(huán)境與編輯器和調(diào)試器以與C51編譯器組成。其中uVision2集成開發(fā)環(huán)境中的工程(project)

21、是由源文件、開發(fā)工具選項(xiàng)以與編程說明三部分組成的;編輯器和調(diào)試器包括源代碼編輯器、斷點(diǎn)設(shè)置、調(diào)試函數(shù)語言、變量和存儲(chǔ)器。Proteus軟件是一種低投資的電子設(shè)計(jì)自動(dòng)化軟件,提供可仿真數(shù)字和模擬、交流和直流等數(shù)千種元器件和多達(dá)30多個(gè)元件庫。Proteus軟件提供多種現(xiàn)實(shí)存在的虛擬儀器儀表。此外,Proteus還提供圖形顯示功能,可以將線路上變化的信號(hào),以圖形的方式實(shí)時(shí)地顯示出來。這些虛擬儀器儀表具有理想的參數(shù)指標(biāo),例如極高的輸入阻抗、極低的輸出阻抗,盡可能減少儀器對(duì)測量結(jié)果的影響,Proteus軟件提供豐富的測試信號(hào)用于電路的測試。這些測試信號(hào)包括模擬信號(hào)和數(shù)字信號(hào)。提供Schematic D

22、rawing、SPICE仿真與PCB設(shè)計(jì)功能,同時(shí)可以仿真單片機(jī)和周邊設(shè)備,可以仿真51系列、AVR、PIC等常用的MCU,并提供周邊設(shè)備的仿真,例如373、led、示波器等。Proteus提供了大量的元件庫,有RAM、ROM、鍵盤、馬達(dá)、LED、LCD、AD/DA、部分SPI器件、部分IIC器件,編譯方面支持Keil和MPLAB等編譯器。一臺(tái)計(jì)算機(jī)、一套電子仿真軟件,在加上一本虛擬實(shí)驗(yàn)教程,就可相當(dāng)于一個(gè)設(shè)備先進(jìn)的實(shí)驗(yàn)室。以虛代實(shí)、以軟代硬,就建立一個(gè)完善的虛擬實(shí)驗(yàn)室。在計(jì)算機(jī)上學(xué)習(xí)電工基礎(chǔ),模擬電路、數(shù)字電路、單片機(jī)應(yīng)用系統(tǒng)等課程,并進(jìn)行電路設(shè)計(jì)、仿真、調(diào)試等。當(dāng)電路設(shè)計(jì)完成之后,為了減少

23、在電路板上調(diào)試時(shí)的難度,保證電路設(shè)計(jì)的正確性,將Keil c51編譯生成的*.HEX 文件載入Proteus軟件,實(shí)現(xiàn)電路仿真。(二)直流電機(jī)的調(diào)速功能仿真當(dāng)按下open鍵時(shí),電機(jī)開始工作。若需要加快電機(jī)的轉(zhuǎn)速,則按下add_speed鍵,直到電機(jī)轉(zhuǎn)速適中;相反,需要減慢電機(jī)的轉(zhuǎn)速時(shí),則按下sub_speed鍵,待轉(zhuǎn)速滿意后,放開按鍵。當(dāng)然,在某種特定的環(huán)境下,還需改變電機(jī)的轉(zhuǎn)速,此時(shí),你可以按一下swap鍵,以達(dá)到改變電機(jī)轉(zhuǎn)向的目的。當(dāng)電機(jī)不工作時(shí),則按下close鍵。圖13 直流電機(jī)調(diào)速系統(tǒng)的Proteus仿真圖1調(diào)速前的波形圖圖14 電機(jī)啟動(dòng)時(shí)的脈沖波形占空比2調(diào)速后的波形圖圖15 電機(jī)

24、減速后的脈沖波形占空比(三)電機(jī)速度的測量并顯示功能仿真對(duì)電機(jī)轉(zhuǎn)速的顯示,為使用者提供了更為直觀的界面。用戶可以根據(jù)液晶顯示屏上的數(shù)字,調(diào)整電機(jī)的轉(zhuǎn)速,為調(diào)速提供了方便。從顯示數(shù)字的穩(wěn)定程度,也可以判斷電機(jī)轉(zhuǎn)速的穩(wěn)定性。若顯示數(shù)字幾乎不變,則說明電機(jī)工作十分穩(wěn)定;與之相反,顯示數(shù)字不停地變化,則說明電機(jī)工作非常不穩(wěn)定。圖16 直流電機(jī)系統(tǒng)的Proteus仿真(四)系統(tǒng)的電路原理圖圖17 直流電機(jī)調(diào)速系統(tǒng)的Protel原理圖由電源模塊、單片機(jī)控制單元、電機(jī)驅(qū)動(dòng)電路、LCD顯示電路、霍爾傳感器電路構(gòu)成。(五)系統(tǒng)的PCB圖圖18 直流電機(jī)調(diào)速系統(tǒng)的ProtelPCB圖4.設(shè)計(jì)總結(jié)經(jīng)過2個(gè)星期的課程

25、設(shè)計(jì),留給我印象最深的是要設(shè)計(jì)一個(gè)成功的電路,必須要有要有扎實(shí)的理論基礎(chǔ),還要有堅(jiān)持不懈的精神。本產(chǎn)品實(shí)現(xiàn)了對(duì)直流電機(jī)的調(diào)速和測速,個(gè)人感覺其中還有許多不夠完善的地方,例如:對(duì)電機(jī)的控制采用的是獨(dú)立按鍵,而非矩陣鍵盤;電機(jī)的驅(qū)動(dòng)電路的設(shè)計(jì)也不是很成熟。此次的設(shè)計(jì)并不奢望一定能成功,但一定要對(duì)已學(xué)的各種電子知識(shí)能有一定的運(yùn)用能力,我做設(shè)計(jì)的目的是希望能檢查下對(duì)所學(xué)知識(shí)的運(yùn)用能力的好壞,并且開始慢慢走上創(chuàng)造的道路,這是非??少F的一點(diǎn)。5.參考文獻(xiàn)1 傅豐林模擬電子線路基礎(chǔ) M. :電子科技大學(xué),2001.12 江志紅51單片機(jī)技術(shù)與應(yīng)用系統(tǒng)開發(fā)案列精選 M. :清華大學(xué),2008.123 王選民

26、智能儀器原理與設(shè)計(jì) M. :清華大學(xué),2008.74 文東 鵬飛 C語言程序設(shè)計(jì) M. :中國人民大學(xué),2009.25 加國 單片機(jī)原理與應(yīng)用與C51程序設(shè)計(jì) M. :清華大學(xué),2008.3附錄#include <reg51.h>#define uchar unsigned char#define uint unsigned intsbit open = P20;sbit close = P21;sbit swap = P22;sbit sub_speed = P23;sbit add_speed = P24;sbit PWM1 = P30;sbit PWM2 = P31;/*液晶

27、顯示*/sbit E=P37;sbit RW = P36;sbit RS = P35;sbit test = P34;int time = 0;int high = 20;int period = 30;int change = 0;int flag = 0;int num_medium = 0;int num_display = 0;int count_speed = 0;uchar wword=0x30,0x31,0x32,0x33,0x34,0x35,0x36,0x37,0x38,0x39; /*延時(shí)t毫秒*/void delay(uchar t) uint i;while(t) /*對(duì)

28、于11.0592MHz時(shí)鐘,延時(shí)1ms*/for(i=0;i<125;i+);t-;/寫命令函數(shù)LCDvoid wc51r(uchar j) RS=0; RW=0; P1=j; E=1; E=0; delay(3);/寫數(shù)據(jù)函數(shù)LCDvoid wc51ddr(uchar j)RS=1; RW=0; P1=j; E=1; E=0; delay(2);/初始化函數(shù)LCDvoid init() wc51r(0x01); /清屏 wc51r(0x38); /使用8位數(shù)據(jù),顯示兩行,使用5*7的字型 wc51r(0x0c); /顯示器件,光標(biāo)開,字符不閃爍wc51r(0x06); /字符不動(dòng),光標(biāo)

29、自動(dòng)右移一格/*8T0中斷服務(wù)程序*PWM波的生成*/void time0_int(void) interrupt 1 time+; /time 為中斷次數(shù)TH0 = 0xec;TL0 = 0x78;if(change = 0)PWM2 = 1;if(time = high) /high次中斷后電平由高電平變成高電平PWM1=0;else if(time = period) /period 相當(dāng)于周期,保持不變的 PWM1 = 1;time = 0; else PWM1 = 1; if(time = high) PWM2=0; else if(time = period) PWM2 = 1; time = 0; /*/*T1中斷服務(wù)程序*單位時(shí)間(S)方波的個(gè)數(shù)*/void time1_int(void) interrupt 3 count_speed+;if(count_speed = 20) count_speed =

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論