基于FPGA的函數(shù)信號發(fā)生器設計_圖文_第1頁
基于FPGA的函數(shù)信號發(fā)生器設計_圖文_第2頁
基于FPGA的函數(shù)信號發(fā)生器設計_圖文_第3頁
基于FPGA的函數(shù)信號發(fā)生器設計_圖文_第4頁
基于FPGA的函數(shù)信號發(fā)生器設計_圖文_第5頁
已閱讀5頁,還剩5頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

1、 第6期易宏,杜志明等:基于FPGA的函數(shù)信號發(fā)生器設計37圖6VC+程序的整體流程行的方式傳送數(shù)據(jù)。設計采用的是凌陽16位單片機SPCE061AE引。FPGA、SPCE061A與USB模組接口連接圖如圖7所示。VCC VDD10A8.IOAl5/L、r叫D0-D8Data0-7,1、.lOA0-IOA7IOB4RD AlOB5WR NFPGA SPCE061A USB模組IOB6ALEsiva|IOBl5IOB8CS NIOB3RESETNGND GNDlOB2玎qT NVSS1v齡圖7FPGA、單片機SPCE061A與USB模組接口連接圖5結(jié)語基于FPGA的函數(shù)發(fā)生器設計作為一項畢業(yè)設計的

2、成果,綜合運用了FPGA,USB,VC+等軟硬件資源,充分結(jié)合開發(fā)套件所具備的模數(shù)混合處理的強大功能,及其一些外部資源如SRAM和PLL 模塊等,通過計算機USB數(shù)據(jù)及控制指令傳遞,實現(xiàn)波形信號的輸出,并在計算機上顯示。設計的系統(tǒng)可輸出正弦波、三角波、斜波、方波等波形,其頻率、相位、幅度及占空比(輸出方波時可調(diào),且同時輸出單極性和雙極性的波形。系統(tǒng)用戶操作界面如圖8所示。圖8用戶操作界面通過本次畢業(yè)設計,參加本項設計的三位學生得到了專業(yè)技能的綜合性鍛煉。通過團隊協(xié)作基本掌握了軟件和硬件開發(fā)工具,綜合能力得到了實際鍛煉。本次設計仍然存在一些問題有待改進,比如發(fā)生信號頻率達到300kHz以上時波形

3、失真較明顯,濾波電路有待后續(xù)工作繼續(xù)完善。參考文獻:1-233-435周立功.Actel FPGA原理及運用M.廣州:廣州致遠電子有限公司,2007周立功.Actel FPGA試驗教程M.廣州:廣州致遠電子有限公司,2007彭宣戈.16位單片機原理及應用IM.北京北京航空航天大學出版社,2006李英偉.USB原理及工程開發(fā)M.北京:國防工業(yè)出版社, 2007孫鑫.VC+深入詳解M.北京:電子工業(yè)出版社.2006(上接第34頁楊忠根等文參考文獻:1 -23 -32 4鄭君里,應啟珩,楊為理.信號與系統(tǒng)(第二版M.北京:高等教育出版社,2000吳大正主編,楊林耀,張永瑞編.信號與系統(tǒng)(第三版M.北

4、京:高等教育出版社,1998劉樹棠譯。A.V.Oppenheim,A.S.Willsky。W.s.H.Na-wab著.信號與系統(tǒng)(第二版-M-.西安:西安交通大學出版社,1998王松林,王輝.時域下初始狀態(tài)轉(zhuǎn)換為激勵J.南京:電氣電子IS-63-738-93教學學報.2006.28(612224杜世民,楊潤萍.LTI系統(tǒng)單位沖激響應的時域解法J.南京t電氣電子教學學報.2007,29(5:4446張小虹,王麗娟.零輸入響應初始條件的處理-J3.南京:電氣電子教學學報.2008,30(1:33-35和70楊忠根.任蕾,陳紅亮.因果微分定理及其應用J.南京t電氣電子教學學報,2009,31(4楊忠

5、根,任蕾.陳紅亮.零輸入響應的兩種定義及其等效性J.南京:電氣電子教學學報,待發(fā)表楊忠根,任蕾,陳紅亮.信號與系統(tǒng)M3.北京:電子工業(yè)出版 社,2009 基于FPGA的函數(shù)信號發(fā)生器設計作者:易宏, 杜志明, 吳國輝, 趙汝波, 韓明, YI Hong, DU Zhi-ming, WU Guo-hui, ZHAO Ru-bo, HAN Ming作者單位:南昌航空大學,自動化學院,江西,南昌,330063刊名:電氣電子教學學報英文刊名:JOURNAL OF ELECTRICAL & ELECTRONIC EDUCATION年,卷(期:2009,31(6被引用次數(shù):0次參考文獻(5條1.孫

6、鑫VC+深入詳解 20062.李英偉USB原理及工程開發(fā) 20073.彭宣戈16位單片機原理及應用 20064.周立功Actel FPGA試驗教程 20075.周立功Actel FPGA原理及運用 2007相似文獻(10條1.學位論文田漢平基于FPGA的函數(shù)信號發(fā)生器設計2008函數(shù)信號發(fā)生器是各種測試和實驗過程中不可缺少的工具,在通信、雷達、測量、控制、教學等領域應用十分廣泛。隨著電子技術(shù)的發(fā)展,對信號波形的種類、頻率范圍等提出越來越高的要求,而傳統(tǒng)方法設計的信號源在波形種類等方面不能滿足要求。針對上述情況,本文采用直接數(shù)字合成(Direct Digital Synthesis,DDS技術(shù),

7、以現(xiàn)場可編程門陣列(FPGA作為硬件基礎,設計函數(shù)信號發(fā)生器。直接數(shù)字頻率合成(DDS是先將所需產(chǎn)生的信號波形的一個周期的若干個樣點的幅值的二進制信息存儲在波形存儲器中,再通過硬件電路依次從波形存儲器中讀取出來,經(jīng)數(shù)/模轉(zhuǎn)換及濾波后得到所需信號波形,其查表合成波形的方法可以滿足產(chǎn)生任意波形的要求。本設計中,選用了Altera公司的EP1C6Q240C8芯片作為產(chǎn)生波形數(shù)據(jù)的主芯片,利用Altera的設計工具Quartus II 6.0、MATLAB 7.0/DSP Builder 6.0并結(jié)合VHDL語言,為多種波形信號發(fā)生器的設計提出了一種較為簡單的解決方案。根據(jù)各種波形產(chǎn)生的基本原理,利用

8、MATLAB/DSP Builder建立其數(shù)學模型,然后利用Altera公司提供的SignalCompiler工具對其進行編譯,產(chǎn)生Quartus II能夠識別的VHDL源程序。利用該VHDL源程序結(jié)合自己編寫的一些附加控制程序,給出了多種波形信號發(fā)生器的頂層原理圖,經(jīng)過波形仿真后,下載到目標器件中。通過系列實驗表明,該函數(shù)信號發(fā)生器可產(chǎn)生調(diào)幅波、正弦波、方波、三角波、鋸齒波、調(diào)頻波等多種波形,而且各波形的頻率和幅度可調(diào),可根據(jù)用戶需要進行現(xiàn)場可編程,達到了預定的要求。2.期刊論文郝小江.羅彪.HAO Xiao-jiang.LUO Biao基于FPGA的函數(shù)信號發(fā)生器-電測與儀表2008,45

9、(5本文介紹了基于直接數(shù)字頻率合成技術(shù)的函數(shù)信號發(fā)生器.利用FPGA的DSP開發(fā)工具DSP Builder對函數(shù)信號發(fā)生器建模.該函數(shù)信號發(fā)生器能夠產(chǎn)生正弦波、鋸齒波、方波、三角波等波形,同時用ModelSim和Quartus進行函數(shù)信號發(fā)生器功能仿真和時序仿真,仿真結(jié)果表明該函數(shù)信號發(fā)生器頻率及相位可靈活調(diào)整且分辨率高,能夠?qū)崿F(xiàn)頻率及相位的快速切換.3.期刊論文李輝.朱林生.Li Hui.Zhu Linsheng基于FPGA的三相函數(shù)信號發(fā)生器設計-電子科技2010,23(7基于FPGA的三相函數(shù)信號發(fā)生器以DDS為核心,在Altera公司Cyclone 系列EP2C8T144C8上實現(xiàn)正弦

10、波、方波、三角渡和鋸齒波信號的產(chǎn)生,利用單片機PIC18F4550控制波形的頻率及相位差.同時單片機通過DAC0832控制波形數(shù)據(jù)轉(zhuǎn)換DAC902參考電壓實現(xiàn)在波形幅度的控制,D/A輸出的波形經(jīng)過放大、濾渡后輸出.波形參數(shù)的輸入輸出通過觸摸屏和液晶屏實現(xiàn),測試結(jié)果顯示該系統(tǒng)具有較高的精度和穩(wěn)定性.4.學位論文黃振華基于FPGA函數(shù)信號發(fā)生器的設計與實現(xiàn)2009任意波形發(fā)生器已成為現(xiàn)代測試領域應用最為廣泛的通用儀器之一,代表了信號源的發(fā)展方向。直接數(shù)字頻率合成(DDS是二十世紀七十年代初提出的一種全數(shù)字的頻率合成技術(shù),其查表合成波形的方法可以滿足產(chǎn)生任意波形的要求。由于現(xiàn)場可編程門陣列(FPGA

11、具有高集成度、高速度、可實現(xiàn)大容量存儲器功能的特性,能有效地實現(xiàn)DDS技術(shù),極大的提高函數(shù)發(fā)生器的性能,降低生產(chǎn)成本。本文首先介紹了函數(shù)波形發(fā)生器的研究背景和DDS的理論。然后詳盡地敘述了用FPGA完成DDS模塊的設計過程,接著分析了整個設計中應處理的問題,根據(jù)設計原理就功能上進行了劃分,將整個儀器功能劃分為控制模塊、外圍硬件、FPGA器件三個部分來實現(xiàn)。最后就這三個部分分別詳細地進行了闡述。在實現(xiàn)過程中,本設計選用了Altera公司的EP2C35F672C6芯片作為產(chǎn)生波形數(shù)據(jù)的主芯片,充分利用了該芯片的超大集成性和快速性。在控制芯片上選用了三星公司的上S3C2440作為控制芯片。本設計中,

12、FPGA芯片的設計和與控制芯片的接口設計是一個難點,本文利用Altera的設計工具Quartus并結(jié)合VerilogHDL語言,采用硬件編程的方法很好地解決了這一問題。論文最后給出了系統(tǒng)的測量結(jié)果,并對誤差進行了一定分析,結(jié)果表明,可輸出步進為0.01Hz,頻率范圍0.01Hz20MHz的正弦波、三角波、鋸齒波、方波,或0.01Hz20KHz的任意波。通過實驗結(jié)果表明,本設計達到了預定的要求,并證明了采用軟硬件結(jié)合,利用FPGA技術(shù)實現(xiàn)任意波形發(fā)生器的方法是可行的。5.期刊論文黃鄉(xiāng)生.楊占才.胡世文.HUANG Xiang-sheng.YANG Zhan-cai.HU Shi-wen基于DDS

13、和FPGA的數(shù)字函數(shù)信號發(fā)生器設計和實現(xiàn)-東華理工大學學報(自然科學版2009,32(4采用現(xiàn)場可編程邏輯器件(FPGA,輔以必要的模擬電路,設計實現(xiàn)了一個基于直接數(shù)字式頻率合成(DDS技術(shù)的數(shù)字函數(shù)信號發(fā)生器.主要模塊有鍵盤、波形表生成、頻率控制、數(shù)模轉(zhuǎn)換及幅度控制、顯示控制和后級處理.其中鍵盤用來設定頻率和幅度的大小以及波形的選擇;FPGA用來改變DDS頻率控制字,并由FPGA來實現(xiàn)波形表生成和頻率控制,即DDS算法實現(xiàn)模塊;將FPGA產(chǎn)生的波形數(shù)據(jù)送入到AD7520進行D/A轉(zhuǎn)換.幅度控制由DAC0832內(nèi)部的電阻分壓網(wǎng)絡實現(xiàn);后級采用低通濾波器和OCL電路來提高輸出波形質(zhì)量并增強其帶負

14、載能力.6.期刊論文楊東芳.YANG Dong-fang合成函數(shù)信號發(fā)生器的設計-儀表技術(shù)與傳感器2010(6該設計是以FPGA為核心,以C8051F005單片機作為系統(tǒng)控制器,利用直接數(shù)字頻率合成(DDFS技術(shù)來產(chǎn)生所需要的波形.并且可通過無線傳輸任意波形數(shù)據(jù).通過使用芯片DAC908與AD603實現(xiàn)信號的輸出,通過NE5532的調(diào)整,使輸出信號在05 V內(nèi)可調(diào)(負載為50 時.7.期刊論文葛東霞.GE Dong-xia ATmega8在函數(shù)信號發(fā)生器設計中的應用-實驗室研究與探索2010,29(1部分將參數(shù)信息送到波形發(fā)生部分,同時波形參數(shù)通過數(shù)碼管實時顯示出來.系統(tǒng)輸出級采用AD817寬

15、帶集成運放搭建的功率放大電路,實現(xiàn)了低通濾波的功能,并能保證輸出電壓在負載改變時穩(wěn)定.8.期刊論文于亞萍.劉源.劉華基于SOPC的DDS函數(shù)發(fā)生器的設計-工業(yè)控制計算機2009,22(2采用SOPC和DDS技術(shù),基于FPGA芯片設計了一個多功能函數(shù)信號發(fā)生器.該函數(shù)發(fā)生器的按鍵控制電路、信號頻率顯示電路、波形產(chǎn)生電路以及D/A轉(zhuǎn)換控制電路均由FPGA完成.用嵌入Nios軟核作鍵盤輸入控制.各種波形離散點采用分區(qū)存儲的方法,存儲在一個ROM中.9.期刊論文馬京低頻兩相函數(shù)信號發(fā)生器-科技致富向?qū)?011(1本系統(tǒng)基于FPGA來開發(fā)DDS函數(shù)發(fā)生器,以凌陽單片機SPAICE061A為控制核心,并由D/A轉(zhuǎn)換器、四階巴特沃思低通濾波器、電流電壓轉(zhuǎn)換器、Icd12864液晶顯示器和波形移位等模塊組成,單片機負責顯示、鍵盤識別和頻率控制字與相位控制字的串行輸出.系統(tǒng)可輸出單相正弦波、兩相正弦波和調(diào)頻信號波,具有輸出波

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論