電子技術(shù)課程設(shè)計交通燈控制電路設(shè)計_第1頁
電子技術(shù)課程設(shè)計交通燈控制電路設(shè)計_第2頁
電子技術(shù)課程設(shè)計交通燈控制電路設(shè)計_第3頁
已閱讀5頁,還剩16頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、電了技術(shù)課程設(shè)計報告交通燈控制電路設(shè)計大學(xué)機自學(xué)院自動化系電氣工程專業(yè)學(xué)號:指導(dǎo)老師 : 徐美華目錄目錄2一、主要用途: 3.二、設(shè)計任務(wù)及要求: 3.三、設(shè)計思路步驟及仿真調(diào)試 4.1. 設(shè)計分析 4.2. 交通燈模塊: 5.3. 脈沖信號模塊 6.4. 減法計數(shù)器及數(shù)碼顯示管模塊 6.5. 控制模塊 8.6. 對所使用芯片進行介紹: 9.7. 交通燈工作圖 .四、實習(xí)小結(jié)一、主要用途:有效管制交通、 疏導(dǎo)交通流量、提高道路通行能力、有利于減少 交通事故。二、設(shè)計任務(wù)及要求:設(shè)計一個主干道和支干道十字路口的交通燈控制電路, 其要求如下:1. 一般情況下,保持主干道暢通,主干道路

2、燈亮、支干道紅燈亮,并且主干燈亮的時間不少于 60 S ;2. 當(dāng)主干道綠燈亮超過60 S,且支干道有車時,主干道紅燈亮,支干道綠燈亮,但支干道綠燈亮的時間不得超過30S;3. 每次主干道或支干道綠燈亮變紅燈時,黃燈先亮5S。三、設(shè)計思路步驟及仿真調(diào)試1.設(shè)計分析計數(shù)器能進行 60 進制、30 進制以及 5 進制的減數(shù)計數(shù),在計數(shù) 器與譯碼器及與非門的工作下實現(xiàn)交通燈信號燈的切換。 有以下四個 狀態(tài):a. 主干道綠燈亮,支干道紅燈亮,此時主干道允許車輛通行,支干道 禁止車輛通行。當(dāng)主干道綠燈亮夠 60 秒后,控制器發(fā)出狀態(tài)轉(zhuǎn)換信 號,系統(tǒng)進入下一個狀態(tài)。b. 主干道黃燈亮, 支干道紅燈亮, 此

3、時主干道允許超過停車線的車輛 繼續(xù)通行,而未超過停車線的車輛禁止通行,支干道禁止車輛通行。 當(dāng)主干道黃燈亮夠 5 秒后,控制器發(fā)出狀態(tài)轉(zhuǎn)換信號, 系統(tǒng)進入下一 個狀態(tài)。c. 主干道紅燈亮,支干道綠燈亮。此時主干道禁止車輛通行,支干道25 V2.4 VU9BF4I 504 NUSA7l S01NJ%U9D允許車輛通行,當(dāng)支干道綠燈亮夠30秒后,控制器發(fā)出狀態(tài)轉(zhuǎn)換信號,系統(tǒng)進入下一個狀態(tài)。d. 主干道紅燈亮,支干道黃燈亮。此時主干道禁止車輛通行,支干道允許超過停車線的車輛通行,而未超過停車線的車輛禁止通行。 當(dāng)支干道紅燈亮夠5秒后,控制器發(fā)出狀態(tài)轉(zhuǎn)換信號,系統(tǒng)進入下一個狀態(tài)。2交通燈模塊:設(shè)主干道

4、紅燈、黃燈、綠燈分別為 XI、X2、X3;支干道紅燈,黃燈、 綠燈分別為丫1、丫2、Y3,如下圖所示。廣13脈沖信號模塊因為T=1=1sf所以通過1HZ的方波脈沖信號產(chǎn)生周期為1s的時鐘信號,如圖 2.3所示。VIWsv圖2.34減法計數(shù)器及數(shù)碼顯示管模塊選用74LS192構(gòu)成減法計數(shù)器,當(dāng)進入黃燈模式,右邊的計數(shù)器進 行5秒鐘的脈沖倒數(shù),當(dāng)?shù)箶?shù)結(jié)束時 B0端輸出一低電平信號,促發(fā) 左邊的減法計數(shù)器進入工作狀態(tài),從而實現(xiàn)交通燈的倒計時時間控 制。U9D 74LS04N2.5 VCD HEX GREENX25VKey = SpaceU11A 74L532NCD HEX GREENX2H pq u

5、 口 o o 0000 住心74LS192IN74LS192NOYO:-wou A-.A CJ Qa t f圖2.4zPEa嚴(yán)cu:5V U1YL 壯Y1-CB/4LS00N74LSQ0N圖2.56對所使用芯片進行介紹:(1) 74LS192 a.74LS192是同步十進制可逆計數(shù)器,它具有雙時鐘輸入,并具有清除和置數(shù)等功能,其引腳排列及邏輯符號如下所示:圖2.6.1 ( a)引腳排圖2.6.1(b)邏輯符號圖中:為置數(shù)端,為加計數(shù)端,為減計數(shù)端,為非同步進位輸出端, 為非同步借位輸出端,P0 P1、P2、P3為計數(shù)器輸入端,為清除端,Q0 Q1、Q2 Q3為數(shù)據(jù)輸出端。b.其功能表如下:輸入

6、輸出MRP3P2P1P0Q3Q2Q1Q01XXXXXXX000000XXdcbadcba011XXXX加計數(shù)011XXXX減計數(shù)表5-274LS192的功能表(2)74LS160a.74LS160為可預(yù)置的十進制同步計數(shù)器,其管腳圖如圖所示:U1ENP計數(shù)控制端QA-QD輸出端ENT計數(shù)控制端CLK時鐘輸入端CLR異步清零端(低電平有效)LOAD同步并行置入端(低電平有效)ENF RCOEMT-LOO,ELK741 SQAQEQCQDRCO 進位輸出端圖 b.74LS160 功能表:INPUTSOUTPUTSOPFRATIMC; MORFMR CPCEPCFTpTnNOHJCXXHXX01KX

7、I1U1XXIh1(.1)Frllel lead1hhhXcounlCount1XIXiiXaHold tdo ncthincD1XKIh賓%DI=Hlyfivuk.aytlevelh=High voltage level onesetuppriortothelor-tohighcjcckirsnsition0-Lov?voltagelevelI=Lov?voltagelevelonesetuppriortothelow-to-highclocktransition口*-case letters indicate the stale thft refrertitd ciMpirt priort

8、c th宀 Inw-tn-hioH rlnrk trnsitinnX-CBnt ecaro- Low-lo-higl-* clock tranoitionCi)-The re output is mgn wtien cei is nigh nd the counrier i$ artTerminal countrii_luj(3) 74LS138a.74LS138為3線8線譯碼器,其引腳排列及邏輯符號如下所示:A0A2地址輸入端STA(E1):選通端/STB (/E2)、/STC (/E3):選通端(低電平有效)/Y0/Y7 :輸出端(低電平有效)VCC電源正GND地A0A2對應(yīng)YY7; A0

9、,A1,A2以二進制形式輸入,然后轉(zhuǎn)換成十進制,對應(yīng)相應(yīng)丫的序號輸出低電平,其他均為高電平;263 (b)263( a)b.74LS138真值表輸入輸出STA/STB/STCA2A1A0/Y0/Y1/Y2/Y3/Y4/Y5/Y6/Y7XHXXXXHHHHHHHHXXHXXXHHHHHHHHLXXXXXHHHHHHHHHLLLLLLHHHHHHHHLLLLHHLHHHHHHHLLLHLHHLHHHHHHLLLHHHHHLHHHHHLLHLLHHHHLHHHHLLHLHHHHHHLHHHLLHHLHHHHHHLHHLLHHHHHHHHHHL7.交通燈工作圖狀態(tài)一:X2 VOA 74L!H34M2X

10、3Z5VCD HexHL ST17NUBCOHuiw1TAUW74LO4Muse圖 符合設(shè)計要求2:當(dāng)主干道綠燈亮超過60 S,且支干道有車時,主干 道紅燈亮,支干道綠燈亮,但支干道綠燈亮的時間不得超過30S;狀態(tài)四:Z.3 U3R MIL刪U1&;Y74JC4Nz a vDCD HEN G41CEin.HImiA 4L33?NX9M3UIW4Fi.1 SA4JJ氣UTBj; LF5CUMY*L八J4LS0馭Q小o*2vP_74L4MNr 譏:MWU9BF41192N7圖 符合設(shè)計要求3:每次主干道或支干道綠燈亮變紅燈時,黃燈先亮5S。綜上所述:該交通燈符合設(shè)計要求總圖如下:Y102伍VKD

11、HEX CRKENXZ仆氏呀閉蟲込茫也A4L工汽74.L!92N4-LNE74L3C4NrLsiMriUUCU1M74LU4JN圖 四、實習(xí)小結(jié)通過一周的電子技術(shù)課程設(shè)計,在不斷的努力嘗試和思考總結(jié)后,我完成了交通燈控制電路。在這次的實習(xí)中,由于數(shù)電是我們上 一學(xué)期學(xué)習(xí)的課程,相較模電更為熟悉,在運用的過程中,更讓我感 到親切,但這并不代表在實際的操作過程中遇到的困難比模電項目 少。從網(wǎng)上的資料搜索到資料理解吸收再到電路的調(diào)試,每一步無不 是一波三折的。但當(dāng)我一步一步最終完成整個設(shè)計后, 我也得到了巨 大的成就感。我第一次發(fā)現(xiàn)自己所學(xué)的東西原來離這個社會這么近, 通過自己的設(shè)計思維以及我現(xiàn)有的知識,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論