eda實(shí)習(xí)心得體會_第1頁
eda實(shí)習(xí)心得體會_第2頁
eda實(shí)習(xí)心得體會_第3頁
eda實(shí)習(xí)心得體會_第4頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、精品范文模板 可修改刪除撰寫人:_日 期:_eda實(shí)習(xí)心得體會 eda實(shí)習(xí)心得體會1不到一周的EDA實(shí)訓(xùn)就這樣結(jié)束了,雖然時(shí)間有些短暫,學(xué)習(xí)的有些倉促,但是這次實(shí)訓(xùn)我是認(rèn)真的。我沒有像以往一樣單一的照貓畫虎,沒有等待著參考別人的成果,而是一邊畫電路圖,一邊分析原理,遇到不會的,自己先勇于嘗試,然后與同學(xué)交流。雖然有很多地方仍然不是很明白,但是自己至少盡心盡力了。初次使用Multisim軟件,加之又是英文版的,會很吃力,我們可能找不準(zhǔn)元器件,可能因不懂它的屬性而用錯(cuò),像這樣的問題不是沒出現(xiàn)過,就在完成實(shí)訓(xùn)第一題目時(shí)這些錯(cuò)誤就出現(xiàn)了。當(dāng)時(shí)因?yàn)橛缅e(cuò)電阻的屬性,導(dǎo)致仿真出的波形與別人不同,然而這個(gè)問題

2、在當(dāng)時(shí)困擾了我和同學(xué)很久,一直找不出問題出在哪里,后來還好有老師的指導(dǎo),才找出問題的所在(我們用的是電流型電阻)。實(shí)訓(xùn)內(nèi)容包括了對電路、模擬電子、數(shù)字電路的簡單操作,我們通過Multisim軟件畫出電路圖,用虛擬的儀表對電路參數(shù)進(jìn)行測量,用虛擬示波器對電路輸入輸出波形進(jìn)行觀測,這不僅讓我們熟悉使用該軟件,同時(shí)體驗(yàn)軟件仿真在電路分析中的重要作用,利用該軟件不僅可以準(zhǔn)確測量各參量,還可幫助我們測試電路的性能。它確實(shí)很方便實(shí)用。雖然有了這種強(qiáng)大軟件的幫助,但是對于我們這些初學(xué)者來說,必須學(xué)會自己分析電路原理,來判斷測試結(jié)果。電路、模電、數(shù)電是一年前學(xué)的,或許是因時(shí)間長,好多知識點(diǎn)被遺忘了,或許是當(dāng)時(shí)

3、就沒將這三門功課學(xué)好,對知識點(diǎn)的生疏,導(dǎo)致實(shí)訓(xùn)的進(jìn)行并不是很順利,有時(shí)半天分析不出一個(gè)原理圖。實(shí)訓(xùn)時(shí)間很短,該軟件的學(xué)習(xí)過程還很長,我不希望自己因?qū)嵱?xùn)結(jié)束而停止對其的認(rèn)識和學(xué)習(xí)。寫到這,我想起前幾天一位留學(xué)回國的姐說過的話:你現(xiàn)在所學(xué)的那些專業(yè)軟件,你必須深入了解和學(xué)習(xí);就學(xué)校進(jìn)行一到兩禮拜的學(xué)習(xí)是遠(yuǎn)遠(yuǎn)不夠的,自己課后必須加強(qiáng)學(xué)習(xí)。之前的實(shí)訓(xùn)機(jī)會已經(jīng)被荒廢了,現(xiàn)在的機(jī)會自己應(yīng)該好好珍惜。大學(xué)的美好時(shí)光所剩不多,如果覺得自己之前沒有盡心盡力,那么接下來的時(shí)間自己好好珍惜吧。 eda實(shí)習(xí)心得體會2這次EDA課程設(shè)計(jì)歷時(shí)兩個(gè)星期,通過這次設(shè)計(jì),通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,在

4、設(shè)計(jì)的過程中遇到問題,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,這次設(shè)計(jì)的數(shù)字秒表還是比較成功的,在設(shè)計(jì)中遇到了很多問題,PLC實(shí)訓(xùn)心得,在學(xué)完P(guān)LC理論課程后我們做了課程設(shè)計(jì),此次設(shè)計(jì)以分組的方式進(jìn)行,沒有過實(shí)際開發(fā)設(shè)計(jì)的經(jīng)驗(yàn),我們基本學(xué)會了PLC設(shè)計(jì)的步聚和基本方法。這次EDA課程設(shè)計(jì)歷時(shí)兩個(gè)星期,在整整兩個(gè)星期的日子里,可以說是苦多于甜,但是可以學(xué)的到很多很多的東西,同時(shí)不僅可以鞏固以前所學(xué)過的知識,而且學(xué)到了很多在書本上所沒有學(xué)到過的知識。通過這次設(shè)計(jì),進(jìn)一步加深了對EDA的了解,讓我對它有了更加濃厚的興趣。特別是當(dāng)每一個(gè)子模塊編寫調(diào)試成功時(shí),心里特別的開心。但是在編寫頂層文件的程序時(shí),

5、遇到了不少問題,特別是各元件之間的連接,以及信號的定義,總是有錯(cuò)誤,在細(xì)心的檢查下,終于找出了錯(cuò)誤和警告,排除困難后,程序編譯就通過了,心里終于舒了一口氣。在波形仿真時(shí),也遇到了一點(diǎn)困難,想要的結(jié)果不能在波形上得到正確的顯示:在設(shè)定輸入的時(shí)鐘信號后,數(shù)字秒表開始計(jì)數(shù),但是始終看不到秒和小時(shí)的循環(huán)計(jì)數(shù)。后來,在數(shù)十次的調(diào)試之后,才發(fā)現(xiàn)是因?yàn)檩斎氲臅r(shí)鐘信號對于器件的延遲時(shí)間來說太短了。經(jīng)過屢次調(diào)試,終于找到了比較合適的輸入數(shù)值:時(shí)鐘周期設(shè)置在15秒左右比較合適。另外,Endtime的值需要設(shè)置的長一點(diǎn):500us左右,這樣就可以觀察到完整的仿真結(jié)果。其次,在連接各個(gè)模塊的時(shí)候一定要注意各個(gè)輸入、輸

6、出引腳的線寬,因?yàn)槊總€(gè)線寬是不一樣的,只要讓各個(gè)線寬互相匹配,才能得出正確的結(jié)果,否則,出現(xiàn)任何一點(diǎn)小的誤差就會導(dǎo)致整個(gè)文件系統(tǒng)的編譯出現(xiàn)錯(cuò)誤提示,在器件的選擇上也有一定的技巧,只有選擇了合適當(dāng)前電路所適合的器件,編譯才能得到完滿成功。通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學(xué)過的知識理解得不夠深刻,

7、掌握得不夠牢固。在設(shè)計(jì)過程中,總是遇到這樣或那樣的問題。有時(shí)發(fā)現(xiàn)一個(gè)問題的時(shí)候,需要做大量的工作,花大量的時(shí)間才能解決。自然而然,我的耐心便在其中建立起來了。為以后的工作積累了經(jīng)驗(yàn),增強(qiáng)了信心。 eda實(shí)習(xí)心得體會3本學(xué)期末我們進(jìn)行了EDA實(shí)訓(xùn),我們組做的是四路智能搶答器,不過本次實(shí)訓(xùn)與以往最大的不同是在熟練并掌握Verilog硬件描述語言的基礎(chǔ)上,運(yùn)用Quartus軟件,對其進(jìn)行波形以及功能的仿真。我們組搶答器的設(shè)計(jì)要求是:可容納四組參賽者,每組設(shè)置一個(gè)搶答按鈕供搶答者使用,電路具有第一搶答信號的鑒別和鎖存功能,系統(tǒng)具有計(jì)分、倒計(jì)時(shí)和倒計(jì)時(shí)鎖存等電路,輸入信號有:各組的搶答按鈕A、B、C、D

8、,系統(tǒng)清零信號CLR,系統(tǒng)時(shí)鐘信號CLK,計(jì)分復(fù)位端RST,加分按鈕端ADD,計(jì)時(shí)預(yù)置控制端LDN,計(jì)時(shí)使能端EN,計(jì)時(shí)預(yù)置數(shù)據(jù)調(diào)整按鈕可以用如TA、TB表示;系統(tǒng)的輸出信號有:四個(gè)組搶答成功與否的指示燈控制信號輸出口可用如LEDA、LEDB、LEDC、LEDD表示,四個(gè)組搶答時(shí)的計(jì)時(shí)數(shù)碼顯示控制信號若干,搶答成功組別顯示的控制信號若干,各組計(jì)分動(dòng)態(tài)顯示的控制信號若干。整個(gè)系統(tǒng)至少有三個(gè)主要模塊:搶答鑒別模塊、搶答計(jì)時(shí)模塊、搶答計(jì)分模塊。實(shí)訓(xùn)的第一天我們組三個(gè)人就開始對搶答器的各部分源程序進(jìn)行調(diào)試,由于剛開始對于quartus2軟件用的不是很熟練,所以在第一天幾乎上沒有啥大的進(jìn)展,一直都在改程

9、序中的錯(cuò)誤。在不停的重復(fù)的編譯、改錯(cuò)。拿著EDA修改稿、資料書檢查出錯(cuò)的地方,一邊又一遍的校對分析其中的錯(cuò)誤。在實(shí)訓(xùn)中我們遇到了很多的問題。為了解決這些問題我和他們兩個(gè)都在的想辦法通過各種渠道尋找解決問題的方法。上網(wǎng)查資料、問同學(xué)、圖書館查資料、問老師、自己想辦法,其實(shí)最有效的方法還是自己去想那樣學(xué)到的東西才會更加的深刻記得時(shí)間也是最長的,他人的幫助當(dāng)然是很好的,但只是暫時(shí)的要想真正的學(xué)到東西還是要靠自己去想辦法。不能一有問題就希望要他人幫忙,一定自己先好好想想實(shí)在解決不了的再去問老師找同學(xué)。由于在一開始的時(shí)候?qū)uartus2軟件的不熟悉耽誤了很多的時(shí)間,在接下來的幾天里遇到了不少的問題。剛

10、開始的時(shí)候是源程序中的錯(cuò)誤一直在那改,好不容易幾個(gè)模塊中的錯(cuò)誤都一個(gè)個(gè)排除了,但當(dāng)把他們放到一起時(shí)問題就又出現(xiàn)了。于是又開始了檢查修改,可是弄了好長時(shí)間也沒有弄明白,最后找了一個(gè)在實(shí)驗(yàn)室的同學(xué)說是頂層文件有問題。于是晚上又找了些關(guān)于頂層文件資料還有課本上的例子。最后對步驟已經(jīng)有了很熟練的掌握,很快就完成了程序編譯、仿真、下載到最后的調(diào)試。紙上談來終覺淺,絕知此事要躬行。在這短暫的兩周實(shí)訓(xùn)中深深的感覺到了自己要學(xué)的東西實(shí)在是太多了,自己知道的是多么的有限,由于自身專業(yè)知識的欠缺導(dǎo)致了這次實(shí)訓(xùn)不是進(jìn)行的很順利,通過這次實(shí)訓(xùn)暴露了我們自身的諸多的不足之處,我們會引以為鑒,在以后的生活中更應(yīng)該努力的學(xué)習(xí)。雖然實(shí)訓(xùn)僅僅進(jìn)行了兩個(gè)星期就匆匆的結(jié)束了,但在這兩個(gè)星期中收獲還是很多的。實(shí)訓(xùn)的目的是要把學(xué)過的東西拿出來用這一個(gè)星期的實(shí)訓(xùn)中不僅用了而且對于quartus2軟件的使用也更加的得心應(yīng)手,這次實(shí)訓(xùn)提高了我們的動(dòng)手能力、理論聯(lián)系實(shí)際的能力、發(fā)現(xiàn)問題分析問題解決問題的能力。實(shí)訓(xùn)只要你認(rèn)真做了都是對自己能力一次很大的提高。本次設(shè)計(jì)過程中得到我們老師的悉心指導(dǎo)。甕老師多次詢問設(shè)計(jì)進(jìn)程,并為

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論