2.1-第章 組合電路設計實例ppt課件_第1頁
2.1-第章 組合電路設計實例ppt課件_第2頁
2.1-第章 組合電路設計實例ppt課件_第3頁
2.1-第章 組合電路設計實例ppt課件_第4頁
2.1-第章 組合電路設計實例ppt課件_第5頁
已閱讀5頁,還剩38頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

1、第第6 6章章 組合電路設計實例組合電路設計實例桶式移位器桶式移位器簡單浮點編碼器簡單浮點編碼器雙優(yōu)先級編碼器雙優(yōu)先級編碼器級聯(lián)比較器級聯(lián)比較器關(guān)模比較器關(guān)模比較器桶式移位器桶式移位器具有具有n個數(shù)據(jù)輸入和個數(shù)據(jù)輸入和n個數(shù)據(jù)輸出個數(shù)據(jù)輸出控制輸入:指定輸入輸出之間如何移動數(shù)據(jù)控制輸入:指定輸入輸出之間如何移動數(shù)據(jù) (移位方向、移位類型、移動的位數(shù))(移位方向、移位類型、移動的位數(shù))設計一個簡單設計一個簡單16位桶式移位器向左移位)位桶式移位器向左移位) 16個數(shù)據(jù)輸入和個數(shù)據(jù)輸入和16個數(shù)據(jù)輸出個數(shù)據(jù)輸出若輸入:若輸入:0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1

2、5則輸出:則輸出:5 6 7 8 9 10 11 12 13 14 15 0 1 2 3 4用用4位控制輸入位控制輸入S3:0指定移動位數(shù),例指定移動位數(shù),例: S=0101, 思路:輸出的每一位都是從思路:輸出的每一位都是從1616個輸入中選出的個輸入中選出的 多路復用器數(shù)據(jù)選擇器)多路復用器數(shù)據(jù)選擇器)需要解決的問題:需要解決的問題:(1 1需要多少個需要多少個1616選選1 1的多路復用器?的多路復用器?(2 2如何控制輸出移位?如何控制輸出移位?方案一:利用方案一:利用74x15174x1511 1、如何擴展路復用器?、如何擴展路復用器? 16 16個輸入端;個輸入端;4 4個地址選擇

3、端;個地址選擇端;ENCBA YD7D0ENCBA YD7D0D7:0D15:8S3 YYENAB YC YD0D774x151當當S=0101時時 Y=D5S2:0S2:0S3:0D15:02 2、如何產(chǎn)生移位?、如何產(chǎn)生移位?ENCBAD0D1D2D3D4D5D6D774x151YYDI0DI1DI2DI3DI4DI5DI6DI7ENCBAD0D1D2D3D4D5D6D774x151YYS2:0Y0DI1DI2DI3DI4DI5DI6DI7DI0S2:0Y1DI2DI3DI4DI5DI6DI7DI0DI1S2:0Y2通過控制數(shù)據(jù)輸入端的連接產(chǎn)生移位通過控制數(shù)據(jù)輸入端的連接產(chǎn)生移位ENAB

4、YC YD0D774x1512 2、如何產(chǎn)生移位?、如何產(chǎn)生移位?通過控制數(shù)據(jù)輸入端的連接產(chǎn)生移位通過控制數(shù)據(jù)輸入端的連接產(chǎn)生移位ENCBA YD7D0ENCBA YD7D0DIN7:0DIN15:8Y0S2:0S2:0S3 DIN8:1DIN0,15:9Y174x15174x151綠色表示高位綠色表示高位紅色表示低位紅色表示低位P334圖圖6-1DIN14:7DIN6:0,15DIN13:6DIN5:0,15,14DIN0,15:9DIN8:1DIN15:8DIN7:0DIN15:0S3:0DOUT15DOUT14DOUT1DOUT0DOUT15:0S2:0S374x15174x15174x

5、15174x15174x15174x15174x15174x151P334圖圖6-1DIN14:7DIN6:0,15DIN13:6DIN5:0,15,14DIN0,15:9DIN8:1DIN15:8DIN7:0DIN15:0S3:0S2:0S374x25174x25174x25174x25174x25174x25174x25174x251利用三態(tài)輸出利用三態(tài)輸出74x251DOUT15:0基本原理:基本原理:利用多路復用器,利用多路復用器,通過控制數(shù)據(jù)輸通過控制數(shù)據(jù)輸入端的連接使輸入端的連接使輸出產(chǎn)生移位。出產(chǎn)生移位。并行處理并行處理負載太大負載太大方案二:利用方案二:利用74x15774x1

6、57GSA4A0B4B0Y4Y074x157DIN3:0DIN2:0,3如何利用如何利用2 2輸入輸入4 4位多路復用控制移位?位多路復用控制移位?基本原理:基本原理:利用多路復用器,利用多路復用器,通過控制數(shù)據(jù)輸通過控制數(shù)據(jù)輸入端的連接使輸入端的連接使輸出產(chǎn)生移位。出產(chǎn)生移位。當當S=0時,時,Y=A,不移位,不移位當當S=1時,時,Y=B,相當于移動一位,相當于移動一位 改變改變A端輸入數(shù)據(jù)的連接順序端輸入數(shù)據(jù)的連接順序 可以使輸出:左可以使輸出:左/右移動一或多位右移動一或多位74x157A4A0B4B0 G SY4Y0YO3:0YO7:4YO11:8YO15:12DI3:0DI7:4D

7、I11:8DI15:12DI2:0,15DI6:3DI10:7DI14:11S0DI15:0YO15:0A4A0B4B0 G SY4Y0A4A0B4B0 G SY4Y0A4A0B4B0 G SY4Y0S = 0 Y = A 不移位不移位S = 1 Y = B 移動移動1位位注意移位方向注意移位方向DI15:074x15774x15774x15774x157S074x15774x15774x15774x157S174x15774x15774x15774x157S274x15774x15774x15774x157S3DO15:0S3:0Si=1: 移動移動1 1位位移動移動2 2位位移動移動4 4

8、位位移動移動8 8位位Si=0:不移動不移動串行方式,節(jié)省器件,延遲大,串行方式,節(jié)省器件,延遲大,P335 P335 圖圖6-26-2首先解決的幾個問題:首先解決的幾個問題: 如何確定第一個如何確定第一個“1“1的位置?的位置? 如何確定如何確定3 3位指數(shù)?位指數(shù)? 如何從第一個如何從第一個“1“1開始選出開始選出4 4位?位?浮點編碼器浮點編碼器11010110100 1101 27 011010000100101111 1001 25 0111100000000010 0010 20 0211121012000從第一個從第一個“1“1開始取開始取4 4位位由第一個由第一個“1“1的位置

9、決定的位置決定3 3位指數(shù)位指數(shù)浮點數(shù)的表示:浮點數(shù)的表示: B B M M 2E 2E T T截位誤差截位誤差 優(yōu)先編碼器優(yōu)先編碼器 優(yōu)先編碼器的輸出優(yōu)先編碼器的輸出 數(shù)據(jù)選擇器數(shù)據(jù)選擇器(1 1如何確定第一個如何確定第一個“1“1的位置?的位置?A2A1A0GSEOEII7I1I074x148 優(yōu)先編碼器優(yōu)先編碼器B10_LB4_LB3_L11010110100 0010010111100000000010B M2ETE2_LE1_LE0_L通常通常 M3=1只有只有B10_LB3_L都無效都無效M3=0(3 3如何從第一個如何從第一個“1“1開始選出開始選出4 4位位M3M2M1M0M3

10、M2M1M0?(2 2如何確定如何確定3 3位指數(shù)?位指數(shù)?(由(由GS端獲得)端獲得)(1 1如何確定第一個如何確定第一個“1“1的位置?的位置? 多路復用器多路復用器 只需要選出只需要選出 M2 M1 M0(3 3如何從第一個如何從第一個“1“1開始選出開始選出4 4位位M3M2M1M0M3M2M1M0?(2 2如何確定如何確定3 3位指數(shù)?位指數(shù)?M2E2E1E0B2B3B9ENYYABCD0D1D774x151同樣的,通過改變同樣的,通過改變數(shù)據(jù)輸入端的連接數(shù)據(jù)輸入端的連接可以得到可以得到M2M1M0通常通常 M3=1只有只有B10_LB3_L都無效都無效M3=0由由GS端獲得)端獲得

11、)P337 圖圖6-3M2_LE0_LE1_LE2_LB9_LB8_LB2_L注意注意有效有效電平電平雙優(yōu)先級編碼器雙優(yōu)先級編碼器A2A0GSEOEI74x148I7I0利用利用74x14874x148找出最高優(yōu)先級找出最高優(yōu)先級如何找第二高優(yōu)先級?如何找第二高優(yōu)先級? 先先“排除最高優(yōu)先輸入排除最高優(yōu)先輸入 再用再用74x14874x148找一次找一次其他輸入不變其他輸入不變強制最高優(yōu)先輸入無效強制最高優(yōu)先輸入無效 利用譯碼器和利用譯碼器和“與門實現(xiàn)與門實現(xiàn) (注意有效電平)(注意有效電平)可以找出最高和第二高優(yōu)先級的編碼器可以找出最高和第二高優(yōu)先級的編碼器需要需要2個優(yōu)先編碼器個優(yōu)先編碼器

12、1個譯碼器、若干個譯碼器、若干“與門與門”R_L7:0A2A0GSEOEI74x148I7I0Y7Y0GI74x138CBAG2A,BA2:0AVALID最高優(yōu)先輸入為最高優(yōu)先輸入為0 0,其余輸入為,其余輸入為1 1A2A0GSEOEI74x148I7I0B2:0BVALID級聯(lián)比較器級聯(lián)比較器3 3片片74x68274x682構(gòu)成構(gòu)成2424位比較器位比較器P0P7 P=QQ0Q7 PQP0P7 P=QQ0Q7 PQP0P7 P=QQ0Q7 PQ7:015:823:16P23:0Q23:0PEQQPGTQP338 6.1.4P340 圖圖6-7關(guān)模比較器關(guān)模比較器輸入:兩個輸入:兩個8位無

13、符號二進制整數(shù)位無符號二進制整數(shù) X 和和 Y 一個控制信號一個控制信號 M輸出:輸出:8位無符號二進制整數(shù)位無符號二進制整數(shù) Z邏輯功能:邏輯功能:M = 1,Z = min(X,Y) M = 0,Z = max(X,Y)思路:(核心問題)思路:(核心問題)(1 1比較比較X,YX,Y的大小的大?。? 2使使Z Z為為X,YX,Y之一之一(3 3控制邏輯控制邏輯 比較器比較器8 8位)位)多路復用器二選一)多路復用器二選一)根據(jù)根據(jù)MM和和X,YX,Y大小控制大小控制 多路復用器的地址選擇端。多路復用器的地址選擇端。GS1A4A1B4B74x1571Y4Y控制邏輯控制邏輯MZ比較器比較器XY

14、XY思路:(核心問題)思路:(核心問題)(1 1比較比較X,YX,Y的大小的大?。? 2使使Z Z為為X,YX,Y之一之一(3 3控制邏輯控制邏輯 比較器比較器8 8位)位)多路復用器二選一)多路復用器二選一)根據(jù)根據(jù)MM和和X,YX,Y大小控制大小控制 多路復用器的地址選擇端。多路復用器的地址選擇端。關(guān)模比較器原理框圖關(guān)模比較器原理框圖控制邏輯控制邏輯MZ比較器比較器XYXYGS1A4A1B4B74x1571Y4YGS1A4A1B4B74x1571Y4YP70 Q7074x682P=QPQX7:0Y7:0Z7:0S=0 Y=AS=1 Y=BMMM PQ_L S0 00 11 01 1S=0

15、Y=AS=1 Y=B0110GS1A4A1B4B74x1571Y4YGS1A4A1B4B74x1571Y4YP70 Q7074x682P=QPQX7:0Y7:0Z7:0P341P341圖圖6-86-8M=1,min(X,Y)M=0,max(X,Y)組合邏輯部分小結(jié)組合邏輯部分小結(jié)第第4 4章章 組合邏輯設計原理組合邏輯設計原理第第5 5章章 組合邏輯設計實踐組合邏輯設計實踐第第6 6章章 組合電路設計實例組合電路設計實例第第4 4章章 基本原理基本原理 開關(guān)代數(shù)基礎開關(guān)代數(shù)基礎組合邏輯的基本分析、綜合方法組合邏輯的基本分析、綜合方法冒險冒險 開關(guān)代數(shù)的公理、定理開關(guān)代數(shù)的公理、定理 對偶、反演

16、規(guī)則對偶、反演規(guī)則 邏輯函數(shù)的表示法邏輯函數(shù)的表示法 分析步驟,利用公式進行化簡分析步驟,利用公式進行化簡 設計方法、步驟設計方法、步驟 利用卡諾圖化簡,電路處理利用卡諾圖化簡,電路處理 無關(guān)項的化簡、多輸出函數(shù)的化簡無關(guān)項的化簡、多輸出函數(shù)的化簡 冒險的檢查和消除冒險的檢查和消除組合電路的分析組合電路的分析分析的目的:分析的目的:確定給定電路的邏輯功能確定給定電路的邏輯功能分析步驟:分析步驟:由輸入到輸出逐級寫出邏輯函數(shù)表達式由輸入到輸出逐級寫出邏輯函數(shù)表達式對輸出邏輯函數(shù)表達式進行化簡對輸出邏輯函數(shù)表達式進行化簡判斷邏輯功能列真值表或畫波形圖)判斷邏輯功能列真值表或畫波形圖)分析圖示邏輯電

17、路的功能分析圖示邏輯電路的功能B3B2B1B0G3G2G1G0解:解:1、寫表達式、寫表達式2、列真值表、列真值表3、分析功能、分析功能0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1B3 B2 B1 B0G3 G2 G1 G00 0 0 00 0 0 10 0 1 1G3 = B3G2 = B3B2G1 = B2B1G0 = B1B0二進制碼至格雷碼的轉(zhuǎn)換電路二進制碼至格雷碼的轉(zhuǎn)換電路0 0 1 00 1 1 00 1

18、 1 10 1 0 10 1 0 01 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 0組合電路的綜合組合電路的綜合問題問題描繪描繪邏輯邏輯籠統(tǒng)籠統(tǒng)選定選定器件器件類型類型函數(shù)化簡函數(shù)化簡電路處理電路處理將函數(shù)將函數(shù)式變換式變換電路電路實現(xiàn)實現(xiàn)真值表真值表或或函數(shù)式函數(shù)式用門電路用門電路用用MSIMSI組合組合電路或電路或PLDPLD0 00 00 00 00 10 10 10 11 01 01 01 01 11 11 11 10 00 11 01 10 00 11 01 10 00 11 01 10 00 11 01 1X1 X0Y

19、1 Y00 0 0 00 0 0 00 0 0 00 0 0 00 0 0 00 0 0 10 0 1 00 0 1 10 0 0 00 0 1 00 1 0 00 1 1 00 0 0 00 0 1 10 1 1 01 0 0 1P3 P2 P1 P0設計設計2 2位數(shù)乘法器位數(shù)乘法器1 1、列真值表、列真值表 輸入:輸入:X X、Y Y2 2位)位) 輸出:乘積輸出:乘積P P4 4位)位) P3 = X1X0Y1Y0Y1Y0X1X000 01 11 1000011110P21112 2、用門電路實現(xiàn)、用門電路實現(xiàn) 利用卡諾圖化簡利用卡諾圖化簡 注意:多輸出函數(shù)注意:多輸出函數(shù)3 3、電路

20、處理、電路處理Y1Y0X1X000 01 11 10000111100111111111111111Y1Y0X1X000 01 11 10000111101111Y1Y0X1X000 01 11 1000011110P2111P3 = X1X0Y1Y0P2 = X1Y1 (X1X0Y1Y0) = X1Y1P3 Y1Y0X1X000 01 11 1000011110P1111111Y1Y0X1X000 01 11 1000011110P01111P3 = X1X0Y1Y0P2 = X1Y1P3P1 = X1Y0P3+X0Y1P3P0 = X0Y0Y1Y0X1X000 01 11 10000111

21、10P211111比較:比較:按多輸出化簡藍色)按多輸出化簡藍色)按單個卡諾圖化簡黑色)按單個卡諾圖化簡黑色)P3 = X1 X0 Y1 Y0P2 = X1X0Y1 + X1Y1Y0P1 = X1Y1Y0 + X1X0Y0 + X0Y1Y0 + X1X0Y1P0 = X0 Y0P3 = X1X0Y1Y0P2 = X1Y1P3P1 = X1Y0P3+X0Y1P3P0 = X0Y0考慮:用用譯碼器實現(xiàn)考慮:用用譯碼器實現(xiàn) 直接表示為標準和形式直接表示為標準和形式人的血型有人的血型有A A、B B、ABAB、O O四種,輸血者的血型與受血者的四種,輸血者的血型與受血者的血型必須符合下面的關(guān)系。血型必

22、須符合下面的關(guān)系。設計邏輯電路判斷輸血者與受血者的血型是否符合規(guī)定。設計邏輯電路判斷輸血者與受血者的血型是否符合規(guī)定。ABABOABABO輸血者輸血者 受血者受血者解:解:1、邏輯抽象,得真值表、邏輯抽象,得真值表 用用X1X0對應輸血者的血型對應輸血者的血型0011) 用用Y1Y0對應受血者的血型對應受血者的血型0011) 輸出輸出F,1表示可以輸血,表示可以輸血,0表示不行表示不行00011011000110110 0 0 00 0 0 10 0 1 00 0 1 10 1 0 0X1X0 Y1Y0F101002、用門電路實現(xiàn)、用門電路實現(xiàn) 卡諾圖化簡卡諾圖化簡 (略)(略)利用譯碼器利用

23、譯碼器利用多路復用器利用多路復用器第第5,65,6章章 設計實踐設計實踐常用的中規(guī)模集成電路常用的中規(guī)模集成電路MSI)編碼器、譯碼器、多路復用器、奇偶校驗、編碼器、譯碼器、多路復用器、奇偶校驗、 比較器、加法器、三態(tài)器件比較器、加法器、三態(tài)器件掌握基本功能,級聯(lián)的方法掌握基本功能,級聯(lián)的方法綜合應用:利用基本綜合應用:利用基本MSI器件作為基本單元器件作為基本單元設計更復雜的組合邏輯電路設計更復雜的組合邏輯電路文檔標準和電路定時理解)文檔標準和電路定時理解)設計減法器設計減法器 方法一:利用真值表化簡方法一:利用真值表化簡 二進制減法表二進制減法表P22P22表表2-32-3)D = X Y

24、 BI BO = XY + XBI + YBI 方法二:利用加法器設計減法器方法二:利用加法器設計減法器(X XY Y相當于相當于X XY Y補)補)對對Y求補:逐位求反求補:逐位求反11X YCI COSX YCI COSX YCI COSB_LX0 Y0X1 Y1Xn YnD0D1Dn設計將設計將BCDBCD碼轉(zhuǎn)換成余碼轉(zhuǎn)換成余3 3碼的碼制轉(zhuǎn)換電路碼的碼制轉(zhuǎn)換電路方案一:利用基本門電路方案一:利用基本門電路SSISSI實現(xiàn)實現(xiàn)1、列真值表、列真值表0 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 00

25、 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 0 1 1 1 1X3X0F3F0d2、卡諾圖化簡多輸出函數(shù))、卡諾圖化簡多輸出函數(shù))3、電路處理,得到電路圖、電路處理,得到電路圖 “與與-或式或式 “與非與非-與非式與非式 “或或-與式與式 “或非或非-或非式或非式方案二:利用中規(guī)模集成電路方案二:利用中規(guī)模集成電路MSIMSI實現(xiàn)實現(xiàn) 譯碼器實現(xiàn)多輸出函數(shù)譯碼器實現(xiàn)多輸出函數(shù)考慮:有沒有更好的方法?考慮:有沒有更好的方法?X1X0X3X200 01 11 1000011110F311111

26、ddddddX1X0X3X200 01 11 1000011110F211111ddddddX1X0X3X200 01 11 1000011110F111111ddddddX1X0X3X200 01 11 1000011110F011111dddddd設計將設計將BCDBCD碼轉(zhuǎn)換成余碼轉(zhuǎn)換成余3 3碼的碼制轉(zhuǎn)換電路碼的碼制轉(zhuǎn)換電路一個更好的方法:余一個更好的方法:余3碼碼 BCD碼碼 3 利用加法器利用加法器MSI實現(xiàn)實現(xiàn)A0A1A2A3B0B1B2B3C0S0S1S2S3C474x283X0X1X2X3F0F1F2F3VCC1100實現(xiàn)兩個實現(xiàn)兩個BCDBCD碼的加法運算碼的加法運算考慮:

27、兩個考慮:兩個BCD碼與兩個碼與兩個4位二進制數(shù)相加的區(qū)別位二進制數(shù)相加的區(qū)別 假如假如(X+Y)產(chǎn)生進位信號產(chǎn)生進位信號C 或或 在在 10101111 之間之間 需要進行修正需要進行修正 結(jié)果加結(jié)果加6利用利用 F 表示是否需要修正表示是否需要修正F = C + S3S2S1S0 + S3S2S1S0 + S3S2S1S0 + S3S2S1S0 + S3S2S1S0 + S3S2S1S0X1X0X3X200 01 11 1000011110111111F = C + S3S2 +S3S1相加相加判別判別修正修正A0 S0A1 S1A2 S2A3 S3B0B1B2B3C0 C474x283A

28、0 S0A1 S1A2 S2A3 S3B0B1B2B3C0 C474x283X0X1X2X3Y0Y1Y2Y3F0F1F2F3C實現(xiàn)兩個實現(xiàn)兩個BCDBCD碼的加法運算碼的加法運算 需要需要2個加法器,分別進行加法運算和修正個加法器,分別進行加法運算和修正 判別邏輯:判別邏輯: F = C + S3S2 +S3S1 電路組成電路組成F分析下面電路,寫出輸出與輸入之間的關(guān)系分析下面電路,寫出輸出與輸入之間的關(guān)系 知:輸出為二進制數(shù),知:輸出為二進制數(shù), X30 和和 Y30 為十進制數(shù)的為十進制數(shù)的BCD碼碼CI A3 A2 A1 A0 COB3 S3B2 S2B1 S1B0 S0 Y1Y0X3X

29、2X1X0CI A3 A2 A1 A0 COB3 S3B2 S2B1 S1B0 S0 Y3Y2Z6Z5Z4Z3Z2Z1Z0Y1 Y0 Y1 Y0 0X3 X2 X1 X0+C S3 S2 Z2 Z1 Z0+ Y3 Y2 Y3 Y2 0 0 0Z6 Z5 Z4 Z3 Z2 Z1 Z0Z = X+Y*2+Y*8 = X+Y*10將十進制將十進制BCD數(shù)數(shù)YX轉(zhuǎn)換為二進制數(shù)轉(zhuǎn)換為二進制數(shù)Z (0100 1000)BCD = (0110000)2 Y3 Y2 Y1 Y0 0X3 X2 X1 X0+ Y3 Y2 Y1 Y0 0 0 0Z6 Z5 Z4 Z3 Z2 Z1 Z0第第4 4章教學大綱要求章教學

30、大綱要求 重點學習掌握邏輯代數(shù)的公理、定理,對偶關(guān)系,重點學習掌握邏輯代數(shù)的公理、定理,對偶關(guān)系,以及在邏輯代數(shù)化簡時的作用;邏輯函數(shù)的表達形式:以及在邏輯代數(shù)化簡時的作用;邏輯函數(shù)的表達形式:積之和與和之積標準型、真值表;組合電路的分析:邏積之和與和之積標準型、真值表;組合電路的分析:邏輯函數(shù)表達式的產(chǎn)生過程及邏輯函數(shù)表達式的基本化簡輯函數(shù)表達式的產(chǎn)生過程及邏輯函數(shù)表達式的基本化簡方法方法函數(shù)化簡方法;組合電路的綜合過程:將功能函數(shù)化簡方法;組合電路的綜合過程:將功能敘述表達為組合邏輯函數(shù)的表達形式、邏輯函數(shù)表達式敘述表達為組合邏輯函數(shù)的表達形式、邏輯函數(shù)表達式的化簡的化簡函數(shù)化簡方法和卡諾圖化簡方法、使用與非函數(shù)化簡方法和卡諾圖化簡方法、使用與非門、或非門表達的邏輯函數(shù)表達式、邏輯函數(shù)的最簡表門、或非門表達的邏輯函數(shù)表達式、邏輯函數(shù)的最簡表達形式及綜

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論