數(shù)字電路復(fù)習(xí)指導(dǎo)(有答案)_第1頁(yè)
數(shù)字電路復(fù)習(xí)指導(dǎo)(有答案)_第2頁(yè)
數(shù)字電路復(fù)習(xí)指導(dǎo)(有答案)_第3頁(yè)
數(shù)字電路復(fù)習(xí)指導(dǎo)(有答案)_第4頁(yè)
數(shù)字電路復(fù)習(xí)指導(dǎo)(有答案)_第5頁(yè)
已閱讀5頁(yè),還剩28頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第一章 邏輯代數(shù)基礎(chǔ)一、本章知識(shí)點(diǎn)1 數(shù)制及不同數(shù)制間的轉(zhuǎn)換 熟練掌握各種不同數(shù)制之間的互相轉(zhuǎn)換。2 碼制 定義、碼的表示方法BCD碼的定義,常用BCD碼特點(diǎn)及表示十進(jìn)制數(shù)的方法。3 原碼、反碼、補(bǔ)碼的表示方法正數(shù)及負(fù)數(shù)的原碼、反碼、補(bǔ)碼。4 邏輯代數(shù)的基本公式和常用公式掌握邏輯代數(shù)的基本公式和常用公式。5 邏輯代數(shù)的三個(gè)基本定理定義,應(yīng)用6邏輯函數(shù)的表示方法及相互轉(zhuǎn)換7邏輯函數(shù)最小項(xiàng)之和的標(biāo)準(zhǔn)形式8邏輯函數(shù)的化簡(jiǎn)公式法化簡(jiǎn)邏輯函數(shù)卡諾圖法化簡(jiǎn)邏輯函數(shù)的基本原理及化簡(jiǎn)方法二、例題1.1 數(shù)制轉(zhuǎn)換1. (46.125)10= ( 101110.001 )2 =( 56.1 )8=( 2E.2 )

2、162. (13.A)16=( 00010011.1010 )2=( 19.625 )10 3. (10011.1)2=( 23.4 )8=( 19.5 )101.2 寫(xiě)出下列數(shù)的八位二進(jìn)制數(shù)的原碼、反碼、補(bǔ)碼原碼,就是用最高位表示數(shù)符(0表示正數(shù)、1表示負(fù)數(shù))。正數(shù),原碼=反碼=補(bǔ)碼;負(fù)數(shù),反碼:除符號(hào)位以外,對(duì)原碼逐位取反;補(bǔ)碼:反碼+11.(-35)10= (10100011 )原碼= (11011100)反碼=(11011101)補(bǔ)碼2. (+35)10 = (00100011 )原碼= (00100011)反碼=(00100011)補(bǔ)碼 3. (-110101)2 = (101101

3、01 )原碼= (11001010)反碼=(11001011)補(bǔ)碼 4. (+110101)2 = (00110101 )原碼= (00110101)反碼=(00110101)補(bǔ)碼 5. (-17)8=(10001111 )原碼= (11110000)反碼=(11110001)補(bǔ)碼1.3. 將下列三位BCD碼轉(zhuǎn)換為十進(jìn)制數(shù)根據(jù)BCD碼的編碼規(guī)則,四位一組展成對(duì)應(yīng)的十進(jìn)制數(shù)。1. 余3碼 = (263)10 2. 8421碼= (596)101.4 分別求下列函數(shù)的對(duì)偶式Y(jié)和反函數(shù)1. 2. 1.5 求下列函數(shù)的與非-與非式。1. 1.6 將下列函數(shù)展成最小項(xiàng)之和的標(biāo)準(zhǔn)形式1. Y= 2. 1.

4、7 用公式法化簡(jiǎn)下列函數(shù)1. 2. 1.8 用卡諾圖化簡(jiǎn)下列邏輯函數(shù)1. 2. 3. 第二章 門(mén)電路一、本章重點(diǎn)1各類(lèi)門(mén)電路的符號(hào)及功能;2TTL電路的外特性及其應(yīng)用3CMOS電路的外特性及其應(yīng)用二、本章知識(shí)點(diǎn) (一) 基本概念1、熟記各種功能門(mén)電路的邏輯符號(hào)。2、熟記TTL、CMOS門(mén)的主要電氣參數(shù)(高低電平的典型值、轉(zhuǎn)折電壓值)。3、正確理解噪聲容限的概念。4、正確理解哪些TTL門(mén)電路可以將輸出端并聯(lián)使用。5、正確理解門(mén)電路多余輸入端的處理方法(應(yīng)該接什么邏輯電平)。6、熟練掌握TTL門(mén)電路輸入端的負(fù)載特性,開(kāi)門(mén)電阻值、關(guān)門(mén)電阻值,會(huì)判斷輸入端在接不同負(fù)載電阻時(shí)所對(duì)應(yīng)的相應(yīng)邏輯值。7、熟練

5、掌握TTL門(mén)電路的輸入端電壓電流關(guān)系特性(在輸入高、低電平時(shí)相應(yīng)的電流方向及大?。?。8、熟練掌握TTL門(mén)電路的輸出端電壓電流關(guān)系特性(在輸出高、低電平時(shí)相應(yīng)的電流方向及大?。?。9、會(huì)判斷負(fù)邏輯的門(mén)電路轉(zhuǎn)換成正邏輯時(shí)門(mén)電路新的邏輯功能。10、會(huì)比較TTL電路系列產(chǎn)品(74、74H、74S、74LS)的性能(工作速度、功耗)。11、熟記集電極開(kāi)路門(mén)、三態(tài)門(mén)、CMOS傳輸門(mén)的功能及邏輯符號(hào)。12、正確理解集電極開(kāi)路的門(mén)電路(OC門(mén))使用時(shí)時(shí)需要外接電源和限流電阻,輸出端能并聯(lián)使用實(shí)現(xiàn)“線(xiàn)與”的工作特點(diǎn)。13、會(huì)根據(jù)使能端邏輯值判斷三態(tài)門(mén)的工作狀態(tài),會(huì)根據(jù)控制端邏輯值判斷CMOS傳輸門(mén)的工作狀態(tài)。14

6、、正確理解CMOS傳輸門(mén)輸入、輸出端可以互換使用、實(shí)現(xiàn)數(shù)據(jù)雙向傳輸?shù)奶攸c(diǎn);CMOS傳輸門(mén)又稱(chēng)為電子模擬開(kāi)關(guān),可用來(lái)傳輸連續(xù)變化的模擬電壓信號(hào),正確理解其電路的基本組成。 (二) 簡(jiǎn)要分析熟練掌握各種功能門(mén)電路的邏輯功能。熟練掌握TTL門(mén)電路輸入端的負(fù)載特性、輸入/輸出端的電壓電流關(guān)系特性,會(huì)判斷各種情況下輸入端的邏輯值。熟練掌握集電極開(kāi)路門(mén)的線(xiàn)與結(jié)構(gòu)、三態(tài)門(mén)工作狀態(tài)的判斷、CMOS傳輸門(mén)工作狀態(tài)的判斷。在掌握以上知識(shí)點(diǎn)的前提下,具備以下分析能力:1、根據(jù)各種門(mén)電路的給定接法,寫(xiě)出相應(yīng)的輸出邏輯表達(dá)式。2、根據(jù)各種門(mén)電路的給定接法,求出相應(yīng)的輸出邏輯值。3、根據(jù)各種門(mén)電路的給定接法、及輸入波形,

7、畫(huà)出相應(yīng)的輸出波形。4、分析給定的各種門(mén)電路的接法,指出電路中存在的問(wèn)題并改正。三、例題1指出下圖中由TTL門(mén)電路組成的邏輯電路的輸出是什么(高電平、低電平、高阻)?解:Y1= 低電平 Y2= 高電平 Y3= 高阻 Y4= 高電平 2. 已知圖示TTL門(mén)電路的輸入端波形,試分別畫(huà)出Y1、Y2、Y3、Y4的輸出波形。解:波形如圖所示3下圖電路均由TTL門(mén)組成,RON=2K,ROFF=0.7K,試分別寫(xiě)出輸出函數(shù)的表達(dá)式。解: 4已知CMOS邏輯電路如圖所示,試寫(xiě)出輸出邏輯函數(shù)Y1、Y2的表達(dá)式。解: 5TTL門(mén)電路如圖所示。(1)圖中多余輸入端B應(yīng)接 。(2)為使圖中電路F1=f(A,C)正常工

8、作,該電路是否還有錯(cuò)誤?為什么?如有錯(cuò)誤,請(qǐng)改正。在上述(1)、(2)問(wèn)題解決后:(3)如A=1、C=0,1門(mén)輸出Y ,F(xiàn)1= ; 如A=1、C=1,1門(mén)輸出Y ,F(xiàn)1= ;解:(1)圖中多余輸入端B應(yīng)接 低電平 。(2)或非門(mén)輸入端通過(guò)10K電阻接地,相當(dāng)于常接高電平,封鎖了或非門(mén),使它出低電平,與A、C無(wú)關(guān)了。因此,為使圖中電路F1=f(A,C)正常工作,該電路確實(shí)有錯(cuò)誤。改正:把10K電阻改換為小于700的電阻即可。(3)如A=1、C=0,1門(mén)輸出Y 0 ,F(xiàn)1= 1 ; 如A=1、C=1,1門(mén)輸出Y 高阻 ,F(xiàn)1= 0 ;6已知邏輯電路如圖所示,試分別寫(xiě)出Y1、Y2、Y3、Y4的輸出邏

9、輯值。解: 高阻第三章 組合邏輯電路一、本章知識(shí)點(diǎn)(一)概念1.組合電路:電路在任一時(shí)刻輸出僅取決于該時(shí)刻的輸入,而與電路原來(lái)的狀態(tài)無(wú)關(guān)。電路結(jié)構(gòu)特點(diǎn):只有門(mén)電路,不含存儲(chǔ)(記憶)單元。2.編碼器的邏輯功能:把輸入的每一個(gè)高、低電平信號(hào)編成一個(gè)對(duì)應(yīng)的二進(jìn)制代碼。優(yōu)先編碼器:幾個(gè)輸入信號(hào)同時(shí)出現(xiàn)時(shí),只對(duì)其中優(yōu)先權(quán)最高的一個(gè)進(jìn)行編碼。3.譯碼器的邏輯功能:輸入二進(jìn)制代碼,輸出高、低電平信號(hào)。顯示譯碼器:半導(dǎo)體數(shù)碼管(LED數(shù)碼管)、 液晶顯示器(LCD)4.數(shù)據(jù)選擇器:從一組輸入數(shù)據(jù)中選出某一個(gè)輸出的電路,也稱(chēng)為多路開(kāi)關(guān)。5.加法器半加器:不考慮來(lái)自低位的進(jìn)位的兩個(gè)1位二進(jìn)制數(shù)相加的電路。全加器:

10、帶低位進(jìn)位的兩個(gè) 1 位二進(jìn)制數(shù)相加的電路。超前進(jìn)位加法器與串行進(jìn)位加法器相比雖然電路比較復(fù)雜,但其速度快。6.數(shù)值比較器:比較兩個(gè)數(shù)字大小的各種邏輯電路。7.組合邏輯電路中的競(jìng)爭(zhēng)一冒險(xiǎn)現(xiàn)象競(jìng)爭(zhēng):門(mén)電路兩個(gè)輸入信號(hào)同時(shí)向相反跳變(一個(gè)從1變0,另一個(gè)從0變1)的現(xiàn)象。競(jìng)爭(zhēng)-冒險(xiǎn):由于競(jìng)爭(zhēng)而在電路輸出端可能產(chǎn)生尖峰脈沖的現(xiàn)象。消除競(jìng)爭(zhēng)一冒險(xiǎn)現(xiàn)象的方法:接入濾波電容、引入選通脈沖、修改邏輯設(shè)計(jì)(二)組合邏輯電路的分析方法分析步驟:1.由圖寫(xiě)出邏輯函數(shù)式,并作適當(dāng)化簡(jiǎn);注意:寫(xiě)邏輯函數(shù)式時(shí)從輸入到輸出逐級(jí)寫(xiě)出。2.由函數(shù)式列出真值表;3.根據(jù)真值表說(shuō)明電路功能。(三)組合邏輯電路的設(shè)計(jì)方法設(shè)計(jì)步驟:

11、1.邏輯抽象:設(shè)計(jì)要求-文字描述的具有一定因果關(guān)系的事件。邏輯要求-真值表(1) 設(shè)定變量-根據(jù)因果關(guān)系確定輸入、輸出變量;(2)狀態(tài)賦值:定義邏輯狀態(tài)的含意 輸入、輸出變量的兩種不同狀態(tài)分別用0、1代表。(3)列出真值表2.由真值表寫(xiě)出邏輯函數(shù)式 真值表函數(shù)式,有時(shí)可省略。3.選定器件的類(lèi)型可選用小規(guī)模門(mén)電路,中規(guī)模常用組合邏輯器件或可編程邏輯器件。4.函數(shù)化簡(jiǎn)或變換式(1)用門(mén)電路進(jìn)行設(shè)計(jì):從真值表-卡諾圖/公式法化簡(jiǎn)。(2)用中規(guī)模常用組合電路設(shè)計(jì):把函數(shù)式變換為與所用器件函數(shù)式相似的形式。(3)使用存儲(chǔ)器、可編程邏輯器件設(shè)計(jì)組合電路5.畫(huà)出邏輯圖原理性設(shè)計(jì)(邏輯設(shè)計(jì))完成。(四)常用組

12、合邏輯電路的功能編碼器、譯碼器、數(shù)據(jù)選擇器、加法器、數(shù)值比較器(五)用常用中規(guī)模集成組合邏輯器件計(jì)組合電路1.用譯碼器器設(shè)計(jì)組合電路方法:(1)選擇集成二進(jìn)制譯碼器;(2)寫(xiě)函數(shù)的標(biāo)準(zhǔn)與非-與非式;(3)確認(rèn)變量和輸入關(guān)系;(4)畫(huà)連線(xiàn)圖。2.用數(shù)據(jù)選擇器設(shè)計(jì)組合電路方法:(1)寫(xiě)出函數(shù)的標(biāo)準(zhǔn)與或式和數(shù)據(jù)選擇器表達(dá)式;(2)對(duì)照比較確定輸入變量和地址碼的對(duì)應(yīng)關(guān)系;輸入變量可能是變量(原變量或反變量),也可能是常量(0或1)。(3)畫(huà)連線(xiàn)圖。3.用加法器設(shè)計(jì)組合電路-用在加(減)某一常數(shù)的場(chǎng)合二、例題1.組合電路如圖所示,分析該電路的邏輯功能。解: 真值表A B CL0 0 00 0 10 1

13、00 1 11 0 01 0 11 1 01 1 101111110(1)由邏輯圖逐級(jí)寫(xiě)出邏輯表達(dá)式 (2)化簡(jiǎn)與變換(3)由表達(dá)式列出真值表(4)分析邏輯功能 由真值表可知,當(dāng)A、B、C三個(gè)變量不一致時(shí),電路輸出為“1”,所以這個(gè)電路稱(chēng)為“不一致電路”。2.由3線(xiàn)-8線(xiàn)譯碼74LS138(輸出低電平有效)和4選1數(shù)據(jù)選擇器(74LS153)組成如圖所示的電路,B1、B2和C1、C2為二組二進(jìn)制數(shù),試列出真值表,并說(shuō)明功能。解: 輸出表達(dá)式: 真值表 功能說(shuō)明:由地址碼C2C1選擇B2B1的最小項(xiàng)的反變量輸出3.設(shè)計(jì)一個(gè)監(jiān)視交通信號(hào)燈工作狀態(tài)的邏輯電路。正常情況下,紅、黃、綠燈只有一個(gè)亮,否則

14、視為故障狀態(tài),發(fā)出報(bào)警信號(hào),提醒有關(guān)人員修理。要求:(1)用門(mén)電路實(shí)現(xiàn)(2)用3-8線(xiàn)譯碼器實(shí)現(xiàn)(3)用4選1數(shù)據(jù)選擇器實(shí)現(xiàn)。解:(1)用門(mén)電路實(shí)現(xiàn)邏輯抽象輸入變量:R、A、G,紅、黃、綠燈;燈亮為1,不亮為0。輸出變量:Z-故障信號(hào),正常工作Z為0,發(fā)生故障Z為1。真值表R A GZ0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 110010111列出真值表寫(xiě)出函數(shù)式并化簡(jiǎn)經(jīng)卡諾圖化簡(jiǎn)得:畫(huà)出電路圖(2)用3-8線(xiàn)譯碼器實(shí)現(xiàn)標(biāo)準(zhǔn)與或式 化成與非-與非式 設(shè)R=A2、A=A1、G=A0 則畫(huà)連線(xiàn)圖(3)用4選1數(shù)據(jù)選擇器實(shí)現(xiàn)標(biāo)準(zhǔn)與或式 S =1時(shí) 4選1 確定輸入

15、變量和地址碼的對(duì)應(yīng)關(guān)系令A(yù) =A1,G = A0 則: 畫(huà)連線(xiàn)圖4.分別用74LS153(4選1數(shù)據(jù)選擇器)和74LS152(8選1)實(shí)現(xiàn)函數(shù)F=AB+BC+AC。解:(1)用4選1數(shù)據(jù)選擇器來(lái)設(shè)計(jì) 標(biāo)準(zhǔn)與或式 數(shù)據(jù)選擇器 確定輸入變量和地址碼的對(duì)應(yīng)關(guān)系令 A1 = A, A0 = B 則D0 = 0 D1 =D2 = C D3 = 1 畫(huà)連線(xiàn)圖(2)用8選1數(shù)據(jù)選擇器來(lái)實(shí)現(xiàn)標(biāo)準(zhǔn)與或式8選1數(shù)據(jù)選擇器:確定輸入變量和地址碼的對(duì)應(yīng)關(guān)系令A(yù)=A2,B=A1,C=A0 D3=D5=D6=D7=1D0=D1=D2=D4=0 畫(huà)圖第四章 觸發(fā)器一、本章知識(shí)點(diǎn)1、 掌握觸發(fā)器的邏輯功能(其中JK觸發(fā)器邏輯

16、功能最強(qiáng))2、 掌握觸發(fā)器的特性方程3、 觸發(fā)器的相互轉(zhuǎn)換方法(JK、D轉(zhuǎn)換成其它類(lèi)型觸發(fā)器)4、 掌握J(rèn)K、D觸發(fā)器的動(dòng)作特點(diǎn)(主從、邊沿、維持阻塞觸發(fā)器)5、掌握由JK、D觸發(fā)器等構(gòu)成的電路分析及工作波形繪制二、練習(xí)題舉例分析:1、JK觸發(fā)器的觸發(fā)信號(hào)和輸入信號(hào)如圖所示。試畫(huà)出Q1端的輸出波形。(所有觸發(fā)器的初態(tài)為0)解:2、用主從的D觸發(fā)器和邊沿觸發(fā)的JK觸發(fā)器組成的電路如圖所示。已知觸發(fā)信號(hào)和輸入信號(hào),試畫(huà)出Q1、Q2的輸出波形。(所有觸發(fā)器的初態(tài)為0)第五章 時(shí)序邏輯電路一、本章知識(shí)點(diǎn)1、 時(shí)序邏輯電路通常由組合電路和存儲(chǔ)電路兩部分組成,而存儲(chǔ)電路是必不可少的。2、 時(shí)序邏輯電路邏輯

17、功能特點(diǎn):任一時(shí)刻的輸出信號(hào)不僅取決于當(dāng)時(shí)的輸入信號(hào),且取決于電路原來(lái)的狀態(tài)。3、 米利、穆?tīng)栃停∕ealy Moore)時(shí)序邏輯電路的概念。4、 熟練掌握根據(jù)單片集成計(jì)數(shù)器的功能表構(gòu)成N進(jìn)制計(jì)數(shù)器的方法(置0法、置數(shù)法、74LS160、74LS161、74LS162,注意同步、異步的區(qū)別)5、 熟練掌握用JK、D觸發(fā)器構(gòu)成的同步時(shí)序邏輯電路的分析方法6、 熟練掌握用JK、D觸發(fā)器設(shè)計(jì)同步計(jì)數(shù)器的方法二、練習(xí)題舉例(一)分析:1、分別用置數(shù)法和置0法將十進(jìn)制計(jì)數(shù)器74LS160接成九進(jìn)制計(jì)數(shù)器。解:置數(shù)法 置0法2、由4位同步二進(jìn)制計(jì)數(shù)器74LS162組成的可變進(jìn)制計(jì)數(shù)器如圖所示。試分析當(dāng)控制

18、變量A為1和0時(shí)電路各為幾進(jìn)制計(jì)數(shù)器,并畫(huà)出狀態(tài)轉(zhuǎn)換圖。A=1時(shí),電路為十四進(jìn)制計(jì)數(shù)器;A=0時(shí),電路為十進(jìn)制計(jì)數(shù)器A=1時(shí), 狀態(tài)轉(zhuǎn)換圖A=0時(shí),狀態(tài)轉(zhuǎn)換圖3、分析圖示的時(shí)序電路,寫(xiě)出驅(qū)動(dòng)方程、輸出方程、狀態(tài)方程,畫(huà)出電路的狀態(tài)圖,檢查電路能否自啟動(dòng),說(shuō)明電路的功能。解:驅(qū)動(dòng)方程: 輸出方程:狀態(tài)方程:自行計(jì)算狀態(tài)表;電路的狀態(tài)圖:A=0時(shí)作二進(jìn)制加法計(jì)數(shù),A=1時(shí)作二進(jìn)制減法計(jì)數(shù)。電路能自啟動(dòng)。4、用JK觸發(fā)器按8421碼設(shè)計(jì)一個(gè)同步六進(jìn)制加法計(jì)數(shù)器,以000為起始狀態(tài)編碼。(思考:按8421碼設(shè)計(jì)一個(gè)同步六進(jìn)制減法計(jì)數(shù)器,或設(shè)計(jì)一個(gè)同步循環(huán)碼八進(jìn)制計(jì)數(shù)器,其狀態(tài)S0、S1、S2、S3、S

19、4、S5、S6、S7的編碼分別為000、001、011、010、110、111、101、100。)(可參考P277 例)解:自行畫(huà)出原始狀態(tài)圖、狀態(tài)圖得狀態(tài)轉(zhuǎn)換卡諾圖卡諾圖分解,并化簡(jiǎn)得到電路的狀態(tài)方程:輸出方程為:3)將狀態(tài)方程變換為JK觸發(fā)器特性方程的標(biāo)準(zhǔn)形式:4)將上式與JK觸發(fā)器的特性方程對(duì)照,則各個(gè)觸發(fā)器的驅(qū)動(dòng)方程為: 電路圖略第六章 脈沖波形的產(chǎn)生和整形一、本章知識(shí)點(diǎn)1、用CMOS門(mén)構(gòu)成的施密特電路的分析與計(jì)算。2、微分型和積分型二種單穩(wěn)態(tài)電路的比較,前者波形好但抗干擾差,后者波形差但抗干擾好。3、晶體振蕩器的振蕩頻率等于晶體的固有頻率。4、占空比的定義。5、用施密特電路構(gòu)成的多諧

20、振蕩器的分析。6、555定時(shí)器構(gòu)成的施密特電路的分析與計(jì)算。7、555定時(shí)器構(gòu)成的單穩(wěn)態(tài)電路的分析與計(jì)算。8、555定時(shí)器構(gòu)成的多諧振蕩器的分析與計(jì)算。二、例題1、在施密特電路,單穩(wěn)態(tài)電路和多諧振蕩器三種電路中,沒(méi)有穩(wěn)態(tài)的電路是 ,有一個(gè)穩(wěn)態(tài)的電路是 ,有二個(gè)穩(wěn)態(tài)的電路是 ,工作過(guò)程中不需要外觸發(fā)信號(hào)的電路是 。解:依次為:多諧振蕩器,單穩(wěn)態(tài)電路,施密特電路及多諧振蕩器。2、某多諧振蕩器輸出信號(hào)頻率為1KHZ,已知q=0.4,求輸出信號(hào)低電平的寬度。解:3、圖示施密特電路中,已知R1=10K,R2=20K,G1和G2是CMOS反相器,VDD=10V。求:(1)VT+、VT-及VT(2)畫(huà)出V0

21、波形解:VT=5V4、下圖是延遲報(bào)警器。當(dāng)開(kāi)關(guān)S斷開(kāi)后,經(jīng)一定的延遲時(shí)間后揚(yáng)聲器發(fā)聲。試求延遲時(shí)間的具體數(shù)值和揚(yáng)聲器發(fā)出聲音的頻率。圖中G1是CMOS反相器,輸出的高、低電平分別為12V和0V。解:左邊定時(shí)器接成了施密特電路,右邊定時(shí)器接成了振蕩器。當(dāng)開(kāi)關(guān)斷開(kāi)后電容C充電,充至?xí)r反相器G1輸出高電平,振蕩器開(kāi)始振蕩。故延遲時(shí)間為揚(yáng)聲器發(fā)出聲音頻率為:注:該題有如下幾種演變情況,請(qǐng)思考如何分析。1、左邊定時(shí)器接成單穩(wěn)態(tài)電路,右邊不變。2、左邊定時(shí)器接成低頻振蕩器,右邊定時(shí)器接成高頻振蕩器。第七章 半導(dǎo)體存儲(chǔ)器1、存儲(chǔ)器的分類(lèi)及每種類(lèi)型的特點(diǎn) 掩模ROM:信息出廠(chǎng)時(shí)已固化在里面,用戶(hù)無(wú)法更改。 R

22、OM PROM:信息由用戶(hù)寫(xiě)入,只能寫(xiě)一次,不能改寫(xiě)。存儲(chǔ)器 EPROM:信息由用戶(hù)寫(xiě)入,可用光擦除后重寫(xiě)。Flash Memory:同E2PROM E2PROM:信息由用戶(hù)寫(xiě)入,可用電擦除后重寫(xiě)。 RAM SRAM:靠觸發(fā)器存儲(chǔ)信息,不需刷新。 DRAM:利用MOS管柵電容存儲(chǔ)信息,需要刷新。2、掌握存儲(chǔ)器電路的結(jié)構(gòu)框圖,對(duì)框內(nèi)具體情況有一個(gè)大概的了解3、了解存儲(chǔ)器相關(guān)名詞術(shù)語(yǔ),如地址數(shù)、字?jǐn)?shù)、字長(zhǎng)、數(shù)據(jù)線(xiàn)及容量等4、掌握存儲(chǔ)器容量擴(kuò)展方法。5、掌握用ROM構(gòu)成組合邏輯函數(shù)的方法及ROM構(gòu)成的組合電路的分析。二、例題1、已知某存儲(chǔ)器標(biāo)有1K×4字樣,回答下列問(wèn)題:(1)該存儲(chǔ)器有幾

23、條地址線(xiàn)?10條地址線(xiàn)。(2)該存儲(chǔ)器能存儲(chǔ)多少個(gè)字?1024個(gè)字。(3)每個(gè)字長(zhǎng)是幾位?4位(4)該存儲(chǔ)器有幾條數(shù)據(jù)線(xiàn)?4條(5)該存儲(chǔ)器的容量是多少位?4096位2、ROM由哪幾部分組成?各部分的作用是什么?答:ROM由地址譯碼器、存儲(chǔ)矩陣及輸出緩沖器三部分組成。地址譯碼器的作用是將輸入地址碼譯成相應(yīng)的控制信號(hào),該控制信號(hào)從存儲(chǔ)矩陣中把對(duì)應(yīng)單元的信息送到輸出。存儲(chǔ)矩陣的作用是存儲(chǔ)二進(jìn)制信息。輸出緩沖器作用有二個(gè)。一是提高負(fù)載能力,二是實(shí)現(xiàn)對(duì)輸出的三態(tài)控制。3、在PROM、EPROM、E2PROM及Flash Memory四種存儲(chǔ)器中,可用光改寫(xiě)的是哪種?答:是EPROM4、哪些類(lèi)型的ROM

24、可用來(lái)設(shè)計(jì)組合電路?組合電路的輸入變量及輸出變量如何安排?答:EPROM、E2PROM及Flash Memory都可以用來(lái)設(shè)計(jì)組合電路。輸出變量安排在ROM的地址端,輸出變量安排在數(shù)據(jù)端。5、根據(jù)存儲(chǔ)數(shù)據(jù)原理的不同,RAM可分為哪幾種?它們存儲(chǔ)數(shù)據(jù)的原理分別是什么?答:可分為靜態(tài)RAM和動(dòng)態(tài)RAM兩種。靜態(tài)RAM靠觸發(fā)器存儲(chǔ)數(shù)據(jù)。動(dòng)態(tài)RAM是利用MOS管柵極電容存儲(chǔ)電荷的原理制成的。6、試用4片2114和譯碼器組成4K×4的RAM,其中2114是1K×4的RAM。7、圖示電路是用ROM組成的邏輯電路,分析其功能。A B CS Z0 0 00 0 10 1 00 1 11 0

25、 01 0 11 1 01 1 10 01 01 00 11 00 10 11 1解: S=m1+m2+m4+m7Z=m3+m5+m6+m7該電路是全加器第八章 可編程邏輯器件一、本章知識(shí)點(diǎn)PLD,PAL,GAL,F(xiàn)PGA,CPLD(P445下)的中文含義是什么。 PAL編程的組合電路、時(shí)序電路分析 用PAL設(shè)計(jì)組合電路、時(shí)序電路二、例題1分析下圖由PAL構(gòu)成的組合邏輯電路,輸入A1,A0; B1,B0;輸出Y3,Y2,Y1,Y0; 試分析電路,畫(huà)出真值表,總結(jié)電路功能。自行計(jì)算真值表;功能:分析下圖由PAL構(gòu)成的時(shí)序邏輯電路,寫(xiě)出電路的驅(qū)動(dòng)方程、狀態(tài)方程,畫(huà)出電路的(Q3Q2Q1)狀態(tài)轉(zhuǎn)換圖。自行計(jì)算狀態(tài)表。狀態(tài)圖見(jiàn)下 功能: M=0六進(jìn)制計(jì)數(shù)器; M=1三進(jìn)制計(jì)數(shù)器用圖示PAL設(shè)計(jì)下列邏輯

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論