數(shù)字電子技術(shù)課程設(shè)計(jì)報(bào)告四人搶答器_第1頁
數(shù)字電子技術(shù)課程設(shè)計(jì)報(bào)告四人搶答器_第2頁
數(shù)字電子技術(shù)課程設(shè)計(jì)報(bào)告四人搶答器_第3頁
數(shù)字電子技術(shù)課程設(shè)計(jì)報(bào)告四人搶答器_第4頁
數(shù)字電子技術(shù)課程設(shè)計(jì)報(bào)告四人搶答器_第5頁
已閱讀5頁,還剩30頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 數(shù)字邏輯電路課程設(shè)計(jì)報(bào)告系 (部): 三 系 專 業(yè): 通 信 工 程 班 級(jí): 12 通 信 2 班 姓 名: 楊 超 學(xué) 號(hào): 20120306201 成 績: 指導(dǎo)老師: 李 海 霞 開課時(shí)間: 2013-2014 學(xué)年 2 學(xué)期一、設(shè)計(jì)題目數(shù)字式競賽搶答器二、主要內(nèi)容1、 分析設(shè)計(jì)題目的具體要求2、 完成課題所要求的各個(gè)子功能的實(shí)現(xiàn)3、 用multisim軟件完成題目的整體設(shè)計(jì)三、具體要求(1) 在給定5V直流電源電壓的條件下設(shè)計(jì)一個(gè)可以容納四組參賽者的搶答器,每組設(shè)定一個(gè)搶答按鈕供參賽者使用。4名參賽者編號(hào)為:1、2、3、4,按鈕的編號(hào)與選手的編號(hào)對(duì)應(yīng),也分別為1、2、3、4。(2

2、) 設(shè)置一個(gè)系統(tǒng)清零和搶答控制開關(guān)K=space(該開關(guān)由主持人控制),當(dāng)開關(guān)K被按下時(shí),搶答開始(允許搶答),打開后搶答電路清零。(3) 搶答器具有一個(gè)搶答信號(hào)的鑒別、鎖存及顯示功能。即參賽者的開關(guān)中任意一個(gè)開關(guān)被按下,鎖存相應(yīng)的編號(hào),并在搶答顯示器上顯示該編號(hào),同時(shí)揚(yáng)聲器發(fā)聲。此時(shí)再按其他任何一個(gè)搶答器開關(guān)均無效,優(yōu)先搶答選手的編號(hào)一直保持不變,直到主持人將系統(tǒng)清除為止。(4) 搶答器具有定時(shí)(9秒)搶答的功能。當(dāng)主持人按下開始按鈕后,定時(shí)器開始倒計(jì)時(shí),定時(shí)顯示器顯示倒計(jì)時(shí)間,若無人搶答,倒計(jì)時(shí)結(jié)束時(shí),揚(yáng)聲器響。參賽者在設(shè)定時(shí)間(9秒)內(nèi)搶答有效,搶答成功,揚(yáng)聲器響,同時(shí)定時(shí)器停止倒計(jì)時(shí),

3、搶答顯示器上顯示選手的編號(hào),定時(shí)顯示器上顯示剩余搶答時(shí)間,并保持到主持人將系統(tǒng)清零為止。(5) 如果搶答定時(shí)間截止,卻沒有選手搶答時(shí),本次搶答無效。系統(tǒng)揚(yáng)聲器報(bào)警,并封鎖輸入編碼電路,禁止選手超時(shí)后搶答,時(shí)間顯示器顯示0。四、進(jìn)度安排第一天:介紹所用仿真軟件;布置任務(wù),明確課程設(shè)計(jì)的完整功能和要求。第二天:消化課題,掌握設(shè)計(jì)要求,明確設(shè)計(jì)系統(tǒng)全部功能,圖書館查閱資料。第三天:確定總體設(shè)計(jì)方案,畫出系統(tǒng)的原理框圖。第四天:繪制單元電路并對(duì)單元電路進(jìn)行仿真。第五天:分析電路,對(duì)原設(shè)計(jì)電路不斷修改,獲得最佳設(shè)計(jì)方案。第六天:完成整體設(shè)計(jì)并仿真驗(yàn)證。 第七天:對(duì)課程設(shè)計(jì)進(jìn)行現(xiàn)場運(yùn)行檢查并提問,給出實(shí)踐

4、操作成績。第八天:完成實(shí)踐報(bào)告的撰寫五、成績?cè)u(píng)定課程設(shè)計(jì)成績按優(yōu)、良、中、及格、不及格評(píng)定,最終考核成績由四部分組成:1、 理論設(shè)計(jì)方案,演示所設(shè)計(jì)成果,總成績40;2、 設(shè)計(jì)報(bào)告,占總成績30;3、 回答教師所提出的問題,占總成績20;4、 考勤情況,占總成績10;無故曠課一次,平時(shí)成績減半;無故曠課兩次平時(shí)成績?yōu)?分,無故曠課三次總成績?yōu)?分。遲到20分鐘按曠課處理。目錄前言11、總體設(shè)計(jì)思路、基本原理和框圖21.1設(shè)計(jì)思路21.2設(shè)計(jì)原理和功能21.3總體設(shè)計(jì)框圖42、單元電路設(shè)計(jì)52.1各芯片的用法和功能52.1.1 74LS17552.1.2 74LS14872.1.3 74LS19

5、082.1.4 555 定時(shí)器82.1.5 74LS1192.1.6 74LS20102.1.7 74ls32102.1.8 4002BD四輸入或非門112.1.9 74LS08112.2單元模塊122.2.1 搶答器,鎖存模塊122.2.2 編碼模塊132.2.3 倒計(jì)時(shí)模塊142.2.4 報(bào)警模塊142.2.5 555時(shí)鐘脈沖模塊153、電路仿真調(diào)試163.1靜止圖163.2仿真圖163.2.1主持人開關(guān)閉合173.2.2 1號(hào)選手搶答,搶答成功183.2.3 1號(hào)選手搶答成功,其他選手搶答無效193.2.4 倒計(jì)時(shí)結(jié)束 搶答無效203.2.5 主持人復(fù)位開關(guān)作用214、 故障分析與電路改

6、進(jìn)224.1故障分析和解解決224.1.1故障分析224.1.2故障解決234.2 電路改進(jìn)245、總結(jié)256、心得體會(huì)267、元件清單278、參考文獻(xiàn)28前言多人競賽搶答的器件在現(xiàn)實(shí)生活競賽中是一個(gè)很常見且應(yīng)用很普遍的機(jī)器,尤其是在隨著各種綜藝電視節(jié)目的不斷發(fā)展,越來越多的競賽搶答器被用在了其中,多路智力搶答器不僅給選手限制了思考時(shí)間,而且也更加能夠鍛煉參賽選手的快速反應(yīng)能力,使得節(jié)目現(xiàn)場的的氛圍更加緊張,比賽更加精彩,更能吸引觀眾。 在知識(shí)競賽中,特別是搶答題時(shí),為了明確選手搶答的先后順序,必須要有一個(gè)系統(tǒng)來完成這個(gè)任務(wù)。如果在搶答中,只靠人的視覺聽覺是很難判斷出哪位選手先搶答,

7、哪位選手后搶答。這次設(shè)計(jì)就是用幾個(gè)觸發(fā)器以及門電路設(shè)計(jì)搶答器,使以上問題得以解決,即使兩位選手的搶答時(shí)間相差無幾,也可分辨出哪位選手優(yōu)先答題,保證了競賽的公平性。隨著社會(huì)迅速發(fā)展,此類智能搶答器很早就問世了。其功能的實(shí)現(xiàn)方式多種多樣,趨向于易于擴(kuò)展,可靠性高,集成度高,制造費(fèi)用低,功能更加多樣化。本次設(shè)計(jì)主要利用常見的74LS系類集成電路芯片和555芯片,并劃分功能模塊進(jìn)行各個(gè)部分的設(shè)計(jì),最后完成四人智力搶答器。本文主要介紹了搶答器的工作原理及設(shè)計(jì),以及它的實(shí)際用途。 數(shù)字式競賽搶答器1、總體設(shè)計(jì)思路、基本原理和框圖1.1設(shè)計(jì)思路電路大致可以由四個(gè)功能模塊組成:編碼鎖存部分,脈沖產(chǎn)生電路部分,

8、倒計(jì)時(shí)顯示電路部分,報(bào)警電路部分。(1)在4D觸發(fā)器構(gòu)成的搶答鎖存器中,由主持人來控制74LS175的清零端。當(dāng)清零端為高電平“1”時(shí),選手開始搶答,最先按鍵的選手相應(yīng)的燈泡亮,并且揚(yáng)聲器發(fā)出聲音;同時(shí),由4個(gè)非Q及門電路組成的鎖存電路來控制其他選手再按鍵時(shí)不起作用,這時(shí)其他選手搶答無效。 (2)在倒計(jì)時(shí)顯示電路部分中,由計(jì)數(shù)器74LS190,數(shù)碼管顯示器組成。利用74LS190計(jì)數(shù)器作為計(jì)時(shí)的芯片,實(shí)行倒計(jì)數(shù)功能。當(dāng)主持人按下?lián)尨鸢粹o時(shí),74LS190被置初始值(9秒),搶搭時(shí)間開始倒計(jì)時(shí),將時(shí)間顯示在顯示器上。假如在規(guī)定時(shí)間內(nèi)無人搶答,即搶答時(shí)間為0時(shí),則計(jì)數(shù)器停止倒計(jì)時(shí),鎖存器

9、被鎖存,禁止選手搶答,搶答時(shí)間數(shù)碼管顯示為0,燈泡閃爍,揚(yáng)聲器響;假如在規(guī)定時(shí)間內(nèi)有人搶答,則計(jì)數(shù)器停止倒計(jì)時(shí),鎖存器被鎖存,禁止其他選手搶答,搶答時(shí)間停止倒計(jì)時(shí),燈泡亮,揚(yáng)聲器響。1.2設(shè)計(jì)原理和功能四路搶答器根據(jù)對(duì)功能要求的簡要分析,將定時(shí)搶答器電路分為主題電路和擴(kuò)展電路兩部分。主體電路完成基本的搶答功能,即開始搶答后,當(dāng)選手按動(dòng)搶答器按鈕時(shí),能顯示選手的編號(hào),同時(shí)能封鎖輸入電路,禁止其他選手搶答。擴(kuò)展電路完成定時(shí)搶答及報(bào)警功能。選手分別對(duì)應(yīng)的按鈕編號(hào)是1、2、3、4,搶答后選手對(duì)應(yīng)燈被點(diǎn)亮,揚(yáng)聲器響。比賽開始時(shí),接通電源,節(jié)目主持人將開關(guān)置于“清零”位置,搶答器處于禁止工作狀態(tài),編號(hào)顯示

10、器滅燈,定時(shí)顯示器上顯示設(shè)定時(shí)間(9s)。當(dāng)節(jié)目主持人宣布“搶答開始”,同時(shí)將控制開關(guān)接通電源,搶答器處于工作狀態(tài),同時(shí)定時(shí)器開始倒計(jì)時(shí)。若規(guī)定時(shí)間結(jié)束,沒有選手搶答時(shí),封鎖輸入電路,禁止選手超時(shí)搶答。若選手在規(guī)定時(shí)間內(nèi)搶答(閉合選手開關(guān)),搶答器要完成以下四項(xiàng)工作:1. 優(yōu)先編碼器電路立即分辨出搶答者的編號(hào),并由鎖存器進(jìn)行鎖存,然后由譯碼顯示電路顯示編號(hào);2. 揚(yáng)聲器發(fā)出響聲,表示有人搶答或者無人搶答,提醒節(jié)目主持人注意;3. 控制電路要對(duì)輸入編碼電路進(jìn)行封鎖,避免其他選手再次進(jìn)行搶答; 4. 控制電路要使定時(shí)器停止工作,時(shí)間顯示器上顯示剩余的搶答時(shí)間,直到主持人將系統(tǒng)清零為止。當(dāng)選手將問題

11、回答完畢時(shí),主持人操作控制開關(guān),使系統(tǒng)清零,準(zhǔn)備進(jìn)行下一輪搶答。上述方案所示搶答器的工作過程:主持人閉合開關(guān)后,最先搶答的選手的電平信號(hào)經(jīng)過優(yōu)先編碼器,和數(shù)據(jù)鎖存器,此時(shí)已經(jīng)限制了其他選手的搶答,信號(hào)再經(jīng)過譯碼器和顯示器,將最先搶答的該選手的編號(hào)顯示出來,同時(shí)揚(yáng)聲器發(fā)出聲響,此時(shí)完成搶答功能;如果沒人搶答, 9秒減計(jì)數(shù)器顯示到0時(shí)揚(yáng)聲器也會(huì)發(fā)出聲響,此時(shí)完成計(jì)時(shí)功能,本輪搶答結(jié)束。它的優(yōu)點(diǎn)表現(xiàn)在以下幾個(gè)方面:這種方案原理比較簡單。主持人對(duì)整體電路的控制只需幾個(gè)門電路就可完成,不必用特別的芯片來組成控制電路;更容易實(shí)現(xiàn)報(bào)警提示功能,在有選手搶答后或者計(jì)時(shí)開始和結(jié)束時(shí)。既減少了布線使整個(gè)電路更直觀

12、簡單,又降低了產(chǎn)生錯(cuò)誤的可能性。1.3總體設(shè)計(jì)框圖圖1.1 總設(shè)計(jì)框圖2、單元電路設(shè)計(jì)2.1各芯片的用法和功能2.1.1 74LS175 圖2.1 74LS175引腳圖74LS175 表達(dá)式: 表2.1 74LS175功能表 Sd RdCPD10×××00111100011010111011111174LS175的工作原理:D觸發(fā)器的的構(gòu)成原件見下圖:圖2.2 D觸發(fā)器此D觸發(fā)器又叫維持阻塞邊沿觸發(fā)器,該觸發(fā)器有六個(gè)與非門組成,其中啊a 和b構(gòu)成基本RS觸發(fā)器下面分析其工作原理:Sd和Rd接至基本RS觸發(fā)器的輸入端,它們分別是預(yù)置和清零端,低電平有效。當(dāng)

13、Sd=0且Rd=1時(shí),不論輸入端D為何種狀態(tài),都會(huì)使Q=1,=0,即觸發(fā)器置一;當(dāng)Sd=1且Rd=0時(shí),觸發(fā)器的狀態(tài)為0,Sd和Rd通常又稱為直接置1和置0端。工作過程如下:、CP=0時(shí),與非門c和g 封鎖,其輸出c=e=1,觸發(fā)器的狀態(tài)不變。同時(shí),由于c至f和e至g的反饋信號(hào)將這兩個(gè)門打開,因此可接收輸入信號(hào)D,f=,g=D。、當(dāng)CP由0變1時(shí)觸發(fā)器翻轉(zhuǎn)。這時(shí)c和e打開,它們的輸出c和e的狀態(tài)由f和g的輸出狀態(tài)決定。C= =D,e=。由基本RS觸發(fā)器的邏輯功能可知,Q=D。、觸發(fā)器翻轉(zhuǎn)后,在CP=1時(shí)輸入信號(hào)被封鎖。C和e打開后,它們的輸出c和e的狀態(tài)是互補(bǔ)的,即封鎖了D通往基本RS觸發(fā)器的

14、路徑;該反饋線起了使觸發(fā)器維持在0狀態(tài)和阻止觸發(fā)器變?yōu)?狀態(tài)的作用,故該反饋線稱為置0維持線,置1阻塞線。e為0時(shí),將c和g封鎖,D端通往基本RS觸發(fā)器的路徑也被封鎖。維持阻塞D 觸發(fā)器狀態(tài)方程和狀態(tài)真值表如下: 搶答器的工作流程,其核心就是該D觸發(fā)器。當(dāng)主持人宣布開始搶答時(shí),將開關(guān)S1斷開,選手迅速按鍵,假設(shè)選手1首先按下?lián)尨疰I,此時(shí)一號(hào)就輸入一個(gè)相當(dāng)于上升沿的脈沖使D1輸出高電平同時(shí)將該高電平信號(hào)傳送給或非門,通過或非門的判斷將信號(hào)輸送到其它選手的D觸發(fā)器的R端并將其鎖定使其無法輸出信號(hào)。2.1.2 74LS148圖2.3 74LS148引腳圖表2.2 74LS148功能表 74LS148

15、的工作原理:74LS148是8線-3線八進(jìn)制優(yōu)先編碼器:電源是VCC(16),GND(8),I0-I7為輸入信號(hào),A2,A1,A0為二進(jìn)制編碼輸出信號(hào),IE是使能端,OE是使能輸出端,GS為優(yōu)先編碼輸出端。功能:當(dāng)OE輸入,IE=1時(shí),禁止編碼,輸出反碼,A2,A1,A0全為1。當(dāng)OE輸入,IE=0時(shí),允許編碼,在I0-I7輸入中,輸入I7優(yōu)先級(jí)最高,其余依次為:I6,I5,I4,I3,I2,I1,I0。當(dāng)某一輸入端有低電平輸入,且比它優(yōu)先級(jí)別高的輸入沒有低電平輸入時(shí),輸出端才輸出相應(yīng)的輸入端的代碼。例如I5=0且I6=I7=1(16,17優(yōu)先級(jí)別高于15),此時(shí)輸出代碼010。2.1.3 7

16、4LS190圖2.4 74LS190引腳圖74LS190有預(yù)置數(shù)功能.74ls190沒有清除功能, 有加/減控制端控制加減,74ls190有使能端,高電平時(shí)禁止計(jì)數(shù)。2.1.4 555 定時(shí)器555定時(shí)器的功能主要由兩個(gè)比較器決定。兩個(gè)比較器的輸出電壓控制RS 觸發(fā)器和放電管的狀態(tài)。在電源與地之間加上電壓,當(dāng) 5 腳懸空時(shí),則電壓比較器 C1 的同相輸入端的電壓為2VCC /3,C2 的反相輸入端的電壓為VCC /3。若觸發(fā)輸入端 TR 的電壓小于VCC /3,則比較器 C2

17、 的輸出為 0,可使 RS 觸發(fā)器置 1使輸出端 OUT=1。如果閾值輸入端TH 的電壓大于 2VCC/3,同時(shí) TR 端的電壓大VCC /3,則 C1 的輸出為0,C2 的輸出為 1。 圖2.5 555定時(shí)器引腳圖表2.3 555計(jì)時(shí)器功能表 2.1.5 74LS11 三輸入與門 圖2.6 三輸入與門引腳圖 2.1.6 74LS2074ls20是一個(gè)四輸入一輸出的與非門組合的芯片,邏輯功能是完成四個(gè)輸入的邏輯與非計(jì)算功能,1、2、4、5腳輸入,

18、6腳輸出,13、12、10、9腳輸入,8腳輸出,3、11兩個(gè)腳空的,7腳接GND,14腳接Vcc 圖2.7 74LS20引腳圖2.1.7 74ls3274LS32是通用數(shù)字電路:四2輸入或門。Y=A+B 以集成塊的一側(cè)有缺口的為左起:左下1-1A,2-1B, 3-1Y;4-2A,5-2B,6-2Y;7-GND;右起:右上8-3Y,9-3A,10-3B;11-4Y,12-4A, 13-4B;14-VCC 其中A,B為輸入端,Y為輸出端,GND為電源負(fù)極,VCC為電源正極。 圖2.8 74ls32引腳圖2.1.8 4002BD四輸入或非門 圖2.9 4002BD四輸入或非門引腳圖 2.1.9 74

19、LS08圖2.10 74LS08引腳圖表2.4 74LS08功能表74LS08的工作原理:2輸入端四與門。2.2單元模塊 搶答器,鎖存模塊以鎖存器為中心的編碼顯示電路搶答信號(hào)的判斷和鎖存可采用觸發(fā)器或鎖存器。若以四D觸發(fā)器74LS175為中心構(gòu)成編碼鎖存系統(tǒng),編碼的作用是把鎖存器的輸出轉(zhuǎn)化成8421BCD碼。表2.5 鎖存器的輸出轉(zhuǎn)化成8421BCD碼 鎖存器輸出 編碼器輸出Q4Q3Q2Q1DCBA00010001001000100100001110000100圖2.11搶答鎖存電路圖 搶答電路有兩個(gè)功能,一是能分辨出選手搶答的先后順序,并鎖存優(yōu)先搶答者的編號(hào),用燈泡顯示表示;二是在倒計(jì)時(shí)結(jié)束

20、后無人搶答或者有一人強(qiáng)大后使其他選手的按鈕操作無效。因此,選用四D觸發(fā)器74LS175、四輸入端與非門74LS20和反相器74LS04(非門)以及燈泡顯示電路,最后還需要利用74LS148進(jìn)行優(yōu)先編碼,完成上述功能。鎖存電路利用一片74LS08和一片74LS04芯片構(gòu)成鎖存功能,例如選手1按下開關(guān)A,其他選手按下開關(guān)后將無效,當(dāng)0與時(shí)鐘脈沖相與后,74LS175禁止工作,完成鎖存功能。工作原理:鎖存電路利用一片74LS08和一片74LS04芯片構(gòu)成鎖存功能,例如選手1按下開關(guān),其他選手按下開關(guān)后將無效,當(dāng)0與時(shí)鐘脈沖相與后,74LS175禁止工作,完成鎖存功能。四D觸發(fā)器74LS175的輸出Q

21、非控制顯示模塊顯示組號(hào)。當(dāng)無人搶答時(shí)即開關(guān)斷開,4個(gè)觸發(fā)器的輸出Q非“1”相與,為“1”時(shí),脈沖能夠進(jìn)入觸發(fā)器,有一人搶答時(shí),與門中有一個(gè)變?yōu)榈碗娖健?”,使脈沖不能進(jìn)入觸發(fā)器,此時(shí)鎖存功能停止,從而防止其他人搶答,并停止計(jì)時(shí)。2.2.2 編碼模塊圖2.12編碼電路圖工作原理:74ls148輸入端優(yōu)先級(jí)別的次序依次為D7、D6、D5、D4、D3、D2、D1、D0。當(dāng)某一輸入端有低電平輸入,且比它優(yōu)先級(jí)別高的輸入端沒有低電平輸入時(shí),輸出端才輸出相應(yīng)該輸入端的代碼。2.2.3 倒計(jì)時(shí)模塊 圖2.13倒計(jì)時(shí)電路 倒計(jì)時(shí)顯示電路:該電路可采用十進(jìn)制同步減計(jì)數(shù)器74LS190,當(dāng)與置數(shù)端LOAD接低電平

22、時(shí),無論CP脈沖怎么變化,預(yù)置數(shù)輸出端等于預(yù)置數(shù)輸入端,令預(yù)置數(shù)輸入端DCBA=1001,此時(shí)在主持人閉合開關(guān)前顯示器顯示“9”,當(dāng)主持人宣布開始,后,在脈沖作用下開始倒計(jì)時(shí)并在顯示器上顯示,到零時(shí)停止計(jì)數(shù)。工作原理:由74LS190十進(jìn)制計(jì)數(shù)器計(jì)數(shù),其輸出端驅(qū)動(dòng)BCD數(shù)碼器。由555的進(jìn)位輸出反相信號(hào)進(jìn)位信號(hào)和搶答信號(hào)控制1HzCP信號(hào)的輸入,即計(jì)數(shù)到0時(shí)不會(huì)倒回9再計(jì)時(shí),也控制計(jì)數(shù)到時(shí)搶答模塊不許再搶答同時(shí)聲音模塊塊報(bào)警。74LS190的MR接收搶答模塊的信號(hào),當(dāng)搶答模塊復(fù)位時(shí)74LS190也復(fù)位。2.2.4 報(bào)警模塊圖2.14 報(bào)警電路由主持人、選手、倒計(jì)時(shí)共同控制它的輸入,使其在主持人

23、閉合開關(guān)選手搶答、倒計(jì)時(shí)到零時(shí)都能鳴叫。2.2.5 555時(shí)鐘脈沖模塊 圖2.15 555電路圖工作原理:該模塊為由555定時(shí)器構(gòu)成的多諧振蕩器。該單元電路由555定時(shí)器和電阻電容接合成多諧振蕩器,產(chǎn)生所需要的脈沖。根據(jù)555多諧振蕩器的頻率計(jì)算公式:可求得1HZ的電路電阻均取47k,電容取10nf。3、電路仿真調(diào)試3.1靜止圖圖3.1 未運(yùn)行時(shí)的總電路圖3.2仿真圖圖3.2 電路仿真運(yùn)行圖當(dāng)主持人還沒有按下開關(guān)時(shí),所有燈泡均不亮,計(jì)時(shí)器顯示為9,選手號(hào)碼顯示器顯示為0。開關(guān)1、2、3、4分別表示1號(hào)選手,二號(hào)選手,三號(hào)選手,四號(hào)選手,開關(guān)space表示主持人開關(guān)。3.2.1主持人開關(guān)閉合圖3

24、.3當(dāng)主持人閉合開關(guān)space時(shí),計(jì)時(shí)器開始倒計(jì)時(shí),由9開始,當(dāng)9至0期間,1號(hào)選手按下?lián)尨痖_關(guān),計(jì)時(shí)器顯示數(shù)字將被鎖存,選手號(hào)碼顯示屏顯示“1”,并且1號(hào)選手搶答時(shí),屬于他的燈泡發(fā)光,報(bào)警電路中燈泡亮,揚(yáng)聲器報(bào)警,警告其他選手不能搶答。3.2.2 1號(hào)選手搶答,搶答成功圖3.4選手按下?lián)尨疰I,通過175鎖存,148優(yōu)先譯碼,在顯示屏上顯示相應(yīng)的選手序號(hào)。主持人按下開關(guān)space后,計(jì)時(shí)器、74LS175觸發(fā)器在脈沖的作用下分別進(jìn)行倒計(jì)時(shí)和鎖存功能。當(dāng)1號(hào)搶答者按下開關(guān)1后,鎖存器鎖存搶答者的序號(hào),由于優(yōu)先編碼器是低電平有效,所以觸發(fā)器的輸出用Q非,然后74lS148編碼使其輸出在通過反相器后

25、,在顯示器上顯示搶答者的序號(hào)。同時(shí)當(dāng)搶答者按下開關(guān)后,計(jì)時(shí)器停止工作,燈泡會(huì)亮。如果其他搶答者在按下開關(guān),均無效。因?yàn)橛袚尨鹫咭呀?jīng)按下開關(guān)后,計(jì)時(shí)器停止工作,此時(shí)74LS148的使能端是高電平,處于禁止工作狀態(tài)。3.2.3 1號(hào)選手搶答成功,其他選手搶答無效圖3.5當(dāng)有一人搶答時(shí),顯示屏顯示選手序號(hào),并通過四輸入與非門輸出“0”,接入175的工作端使175無法工作,其他選手無法搶答。3.2.4 倒計(jì)時(shí)結(jié)束 搶答無效圖3.6當(dāng)?shù)褂?jì)時(shí)結(jié)束時(shí),190輸出“0000”,通過或非門輸出“1”并接入148的EI端,使譯碼器停止工作。無法搶答。3.2.5 主持人復(fù)位開關(guān)作用 圖3.7當(dāng)主持人打開開關(guān)spac

26、e,選手顯示器為0,計(jì)時(shí)器為9,實(shí)現(xiàn)其復(fù)位功能。4、 故障分析與電路改進(jìn)4.1故障分析和解解決4.1.1故障分析圖4.1故障圖(a)圖4.1 故障圖(b) 當(dāng)計(jì)時(shí)器計(jì)時(shí)到8和4的時(shí)候,理論上寄存器會(huì)工作,但是沒有工作,所以有故障。故障解決 設(shè)計(jì)電路圖的時(shí)候,U7A74LS02的輸入端接的是74LS190的輸出端QA、QB,輸出端連接74LS148的EI(低電平使能端),這樣只要QA、QB輸出的都是“0”的話,即“4”和“8”,兩個(gè)“0”通過或非門變成“1”,此時(shí)的高電平輸出給低電平有效的EI,使其無效,促使在“4”和“8”的時(shí)候74LS148不工作。由此想到只要把74LS190的四個(gè)輸出端口都

27、接入四輸入或非門,這樣就能避免這樣的情況發(fā)生。改進(jìn)電路如圖所示:圖4.2圖4.34.2 電路改進(jìn)1:蜂鳴器不響方法:蜂鳴器的頻率太小,增大蜂鳴器的頻率。2:線路太多太亂方法:調(diào)整芯片、器件的位置,盡量減少連線的轉(zhuǎn)折點(diǎn)。3:蜂鳴器木塊用到的門電路太多,太亂。方法:從網(wǎng)上所搜一下四輸入或非門在multisim里的名稱(4002),用它代替了4個(gè)門電路,是的電路更美觀。5、總結(jié)持續(xù)一個(gè)多星期的課程設(shè)計(jì)結(jié)束了,雖然我的電路不是最好的,但總體上還是很成功的。一周的時(shí)間雖然很短暫,但從中獲益匪淺. 畢竟在課堂上學(xué)習(xí)的內(nèi)容真真正正能用到實(shí)際是有點(diǎn)學(xué)有所用的,而且要把理論知識(shí)付諸實(shí)踐確實(shí)非一件易事。我從最初的設(shè)想設(shè)計(jì)一個(gè)什么樣的數(shù)字電路到繪制電路圖,然后打印排版,最后校正設(shè)計(jì)中的漏洞與不足。整個(gè)過程中我翻閱大量資料,跑遍圖書館各個(gè)能找到數(shù)電資料的角落,上網(wǎng)查找有關(guān)內(nèi)容,馬不停蹄思考如何繪制電路,最讓我感到驚訝的是我用一個(gè)下午的時(shí)間竟然把數(shù)字邏輯電路M

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論