基于單片機(jī)的頻率計(jì)的設(shè)計(jì)說明_第1頁
基于單片機(jī)的頻率計(jì)的設(shè)計(jì)說明_第2頁
基于單片機(jī)的頻率計(jì)的設(shè)計(jì)說明_第3頁
基于單片機(jī)的頻率計(jì)的設(shè)計(jì)說明_第4頁
基于單片機(jī)的頻率計(jì)的設(shè)計(jì)說明_第5頁
已閱讀5頁,還剩26頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第一章 引言1.1 選題的目的意義數(shù)字頻率計(jì)的主要功能是測量周期信號的頻率。其基本原理就是用閘門計(jì)數(shù)的方式測量脈沖個(gè)數(shù)。頻率是單位時(shí)間( 1s )信號發(fā)生周期變化的次數(shù)。如果我們能在給定的 1s時(shí)間對信號波形計(jì)數(shù),并將計(jì)數(shù)結(jié)果顯示出來,就能讀取被測信號的頻率。數(shù)字頻率計(jì)首先必須獲得相對穩(wěn)定與準(zhǔn)確的時(shí)間,同時(shí)將被測信號轉(zhuǎn)換成幅度與波形均能被數(shù)字電路識別的脈沖信號,然后通過計(jì)數(shù)器計(jì)算這一段時(shí)間間隔的脈沖個(gè)數(shù),將其換算后顯示出來。頻率測試是電子學(xué)中最基本的測量之一。 數(shù)字頻率計(jì)是計(jì)算機(jī)、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測量儀器。它是一種用十進(jìn)制數(shù)字,顯示被測信號頻率的數(shù)字測量儀器。它的基本

2、功能是測量正弦信號,方波信號以與其他各種單位時(shí)間變化的物理量。在進(jìn)行模擬、數(shù)字電路的設(shè)計(jì)、安裝、調(diào)試過程中,由于其使用十進(jìn)制數(shù)顯示,測量迅速,精度高,顯示直觀,所以經(jīng)常要用到數(shù)字頻率計(jì)。數(shù)字頻率計(jì)的主要實(shí)現(xiàn)方法有直接式、鎖相式、直接數(shù)字式三種。直接式的優(yōu)點(diǎn)是速度快、相位噪聲低,但結(jié)構(gòu)復(fù)雜、雜散多,一般只應(yīng)用在地面雷達(dá)中。鎖相式的優(yōu)點(diǎn)是相位同步自動(dòng)控制,制作頻率高,功耗低,容易實(shí)現(xiàn)系列化、小型化、模塊化和工程化。直接數(shù)字式的優(yōu)點(diǎn)電路穩(wěn)定、精度高、容易實(shí)現(xiàn)系列化、小型化、模塊化和工程化。隨著單片鎖相式數(shù)字頻率計(jì)的發(fā)展,鎖相式和數(shù)字式容易實(shí)現(xiàn)系列化、小型化、模塊化和工程化,性能也越來越好,已逐步成為

3、兩種最為典型,用處最為廣泛的數(shù)字頻率計(jì)。數(shù)字頻率計(jì)可用純硬件實(shí)現(xiàn)法(可選的器件有通用的SSI/MSI/LSI集成電路、專用集成電路、可編程邏輯器件等),也可用純軟件實(shí)現(xiàn)法(可選的平臺有PC機(jī)、單片機(jī)、 DSP器件等);一般考慮用軟硬件相結(jié)合的實(shí)現(xiàn)法,但是實(shí)現(xiàn)的頻率精度可能沒有純硬件實(shí)現(xiàn)的精確高。 1.2 國外研究綜述在電子測量領(lǐng)域中,頻率測量的精確度是最高的,可達(dá)1010E-13數(shù)量級。因此,在生產(chǎn)過程中許多物理量,例如溫度、壓力、流量、液位、PH值、振動(dòng)、位移、速度、加速度,乃至各種氣體的百分比成分等均用傳感器轉(zhuǎn)換成信號頻率,然后用數(shù)字頻率計(jì)來測量,以提高精確度。 由于大規(guī)模和超大規(guī)模數(shù)字集

4、成電路技術(shù)、數(shù)據(jù)通信技術(shù)與單片機(jī)技術(shù)的結(jié)合,數(shù)字頻率計(jì)發(fā)展進(jìn)入了智能化和微型化的新階段。其功能進(jìn)一步擴(kuò)大,除了測量頻率、頻率比、周期、時(shí)間、相位、相位差等基本功能外,還具有自撿、自校、自診斷、數(shù)理統(tǒng)計(jì)、計(jì)算方均根值、數(shù)據(jù)存儲和數(shù)據(jù)通信等功能。此外,還能測量電壓、電流、阻抗、功率和波形等。國際國通用數(shù)字頻率計(jì)的主要技術(shù)參數(shù):1、足夠?qū)挼臏y量圍。人們對頻率測量的圍的追無止境的,在某些特殊的測試場合,要求頻率計(jì)的測量圍足夠?qū)?,隨著現(xiàn)代電子技術(shù)的發(fā)展,特別是高速芯片技術(shù)的發(fā)展,有些頻率計(jì)數(shù)器能夠直接測量。例如100GHz以上的頻率,在機(jī)動(dòng)車的防撞雷達(dá)和低功率通訊中繼站就需要這種性能的頻率計(jì)。2、高精度

5、和高分辨率。精度是指測量的準(zhǔn)確程度,即儀器的讀數(shù)接近實(shí)際信號頻率的程度,精度越高測量越準(zhǔn)確。分辨率表明多么小的頻率變化可能在儀器上顯示出來。3、晶體振蕩器的頻率穩(wěn)定度 晶體振蕩器的頻率穩(wěn)定度,是決定頻率計(jì)測量誤差的一個(gè)重要指標(biāo)。4、輸入靈敏度 輸入靈敏度是指在側(cè)頻圍能保證正常工作的最小輸入電壓。1.3 數(shù)字頻率計(jì)的發(fā)展趨勢科學(xué)技術(shù)發(fā)展越快,產(chǎn)品的更新周期就越短,數(shù)字化電子產(chǎn)品更是如此。數(shù)字頻率計(jì)作為一種電子測量儀器,其發(fā)展趨勢主要向以下三個(gè)方向發(fā)展。發(fā)展趨勢之一:從以前的模擬器件設(shè)計(jì)數(shù)字頻率計(jì)逐步轉(zhuǎn)變?yōu)閿?shù)字芯片設(shè)計(jì)數(shù)字頻率計(jì)。這樣的轉(zhuǎn)變使得頻率計(jì)的設(shè)計(jì)更趨于自動(dòng)化、智能化?,F(xiàn)在的電子產(chǎn)品主要是

6、采用EDA技術(shù)和單片機(jī)技術(shù)作為核心控制系統(tǒng),輔以外圍電路,制成高端數(shù)字化產(chǎn)品。頻率計(jì)正是朝著這個(gè)方向發(fā)展。發(fā)展趨勢之二:在功能上從以前的僅實(shí)現(xiàn)單一頻率測量擴(kuò)展到還能測量周期、占空比、脈寬等各種參數(shù)指標(biāo)。數(shù)字技術(shù)的不斷成熟,使得在一塊很小的板子上制作大規(guī)模、多功能的電子產(chǎn)品變得非常的容易、方便。當(dāng)然,功能的實(shí)現(xiàn)是以強(qiáng)大的軟件技術(shù)做后盾的。以后的頻率計(jì)等測量儀器將在編程語言的不斷優(yōu)化下,數(shù)字技術(shù)的不斷完善下實(shí)現(xiàn)更多的功能。發(fā)展趨勢三:頻率計(jì)虛擬化。隨著計(jì)算機(jī)的普與,利用計(jì)算機(jī)做顯示和操作平臺的虛擬儀表,也越來越被廣泛運(yùn)用。31 / 31第二章 方案論證2.1 數(shù)字頻率計(jì)測量方法測量頻率的方法很多,

7、本次設(shè)計(jì)采用的是電子計(jì)數(shù)式。電子計(jì)數(shù)式的測頻方法主要有以下幾種:脈沖數(shù)定時(shí)測頻法(M法),脈沖周期測頻法(T法),脈沖數(shù)倍頻測頻法(AM法),脈沖數(shù)分頻測頻法(AT法) ,脈沖平均周期測頻法(M/ T法),多周期同步測頻法。脈沖數(shù)定時(shí)測頻法(M 法):此法是記錄在確定時(shí)間 Tx待測信號的脈沖個(gè)數(shù) Mx,則待測頻率為:Fx=Mx/Tx ,顯然,時(shí)間 Tx 為準(zhǔn)確值,測量的精度主要取決于計(jì)數(shù) Mx的誤差。其特點(diǎn)在于:測量方法簡單;測量精度與待測信號頻率和門控時(shí)間有關(guān) ,當(dāng)待測信號頻率較低時(shí) ,誤差較大。脈沖周期測頻法(T法):此法是在待測信號的一個(gè)周期 Tx,記錄標(biāo)準(zhǔn)頻率信號變化次數(shù) Mo。這種方

8、法測出的頻率是:Fx=Mo/Tx,此法的特點(diǎn)是低頻檢測時(shí)精度高 ,但當(dāng)高頻檢測時(shí)誤差較大。 脈沖數(shù)倍頻測頻法(AM法):此法是為克服M法在低頻測量時(shí)精度不高的缺陷發(fā)展起來的。通過 A倍頻,把待測信號頻率放大A倍,以提高測量精度。其待測頻率為:Fx= Mx/ATo                            &

9、#160;          其特點(diǎn)是待測信號脈沖間隔減小 ,間隔誤差降低;精度比 M法高A倍 ,但控制電路比較復(fù)雜。脈沖數(shù)分頻測頻法(AT法):此法是為了提高T法高頻測量時(shí)的精度形成的。由于T法測量時(shí)要求待測信號的周期不能太短 ,所以可通過A分頻使待測信號的周期擴(kuò)大A倍,所測頻率為:Fx=AMo/Tx,其特點(diǎn)是高頻測量精度比T法高A倍;但控制電路也較復(fù)雜。 脈沖平均周期測頻法(M/T法):此法是在閘門時(shí)間 Tc,同時(shí)用兩個(gè)計(jì)數(shù)器分別記錄待測信號的脈沖數(shù) Mx 和標(biāo)準(zhǔn)信號的脈沖數(shù)Mo 。若標(biāo)準(zhǔn)信號的頻率為

10、Fo ,則待測信號頻率為:Fx = FoMx/Mo,M/T法在測高頻時(shí)精度較高;但在測低頻時(shí)精度較低。 多周期同步測頻法:此法是由閘門時(shí)間Tc與同步門控時(shí)間Td共同控制計(jì)數(shù)器計(jì)數(shù)的一種測量方法,待測信號頻率與 M/ T法一樣。此法的優(yōu)點(diǎn)是,閘門時(shí)間與被測信號同步,消除了對被測信號計(jì)數(shù)產(chǎn)生的±1個(gè)字誤差,測量精度大大提高 ,且測量精度與待測信號的頻率無關(guān),達(dá)到了在整個(gè)測量頻段等精度測量。  2.2 幾種方案的優(yōu)劣討論方案一、傳統(tǒng)的頻率計(jì)。該系統(tǒng)測頻部分采用中小規(guī)模數(shù)字集成電路,用機(jī)械式功能轉(zhuǎn)換開關(guān)換擋,完成對不同頻率的測量.該方案的特點(diǎn)是中小規(guī)模數(shù)字集成電路應(yīng)用技術(shù)成熟,能可

11、靠地完成頻率計(jì)的基本功能,但由于完成功能所需元器件較多,電路過于復(fù)雜,而且多量程換擋開關(guān)使用不便。通道放大主門計(jì)數(shù)、鎖存、顯示電源門控晶體振蕩源分頻圖2.1方案一原理框圖方案二、系統(tǒng)采用可編程邏輯器件(PLD,如ATV 2500)作為信號處理與系統(tǒng)控制核心,完成包括計(jì)數(shù)、門控、顯示等一系列工作。該方案利用了PLD的可編程和大規(guī)模集成的特點(diǎn),使電路大為簡化,但此題使用PLD則不能充分發(fā)揮其特點(diǎn)與優(yōu)勢,并且測量精度不夠高,導(dǎo)致系統(tǒng)性能價(jià)格比降低、系統(tǒng)功能擴(kuò)展受到限制。晶體產(chǎn)生的高頻信號由PLD進(jìn)行的多級分頻通道PLD計(jì)數(shù)與BCD譯碼顯 示圖2.2方案二原理框圖 方案三、采用頻率計(jì)模塊(如 ICM7

12、216)構(gòu)成,特點(diǎn)是結(jié)構(gòu)簡單 ,量程可以自動(dòng)切換。 ICM7216部帶有放大整形電路 ,可以直接輸入模擬信號。外部振蕩部分選用一塊高精度晶振體和兩個(gè)低溫系數(shù)電容構(gòu)成10MHz并聯(lián)振蕩電路。用轉(zhuǎn)換開關(guān)選擇 10ms ,0. 1s ,1s ,10s 四種閘門時(shí)間 ,同時(shí)量程自動(dòng)切換。ICM7216顯示晶 振模擬信號圖2.3方案三原理框圖方案四、系統(tǒng)采用MCS-51系列單片機(jī)AT89C51作為控制核心,門控信號由AT89C51部的計(jì)數(shù)定時(shí)器產(chǎn)生,由于單片機(jī)的計(jì)數(shù)頻率上限較低(12MHz晶振時(shí)約500KHz),所以需對高頻預(yù)測信號進(jìn)行硬件預(yù)分頻處理,AT89C51則完成運(yùn)算、控制與顯示功能。由于使用了

13、單片機(jī),使整個(gè)系統(tǒng)具有極為靈活的可編程性,能方便地對系統(tǒng)進(jìn)行功能擴(kuò)展與改進(jìn)。脈沖形成電路分頻電路主 控AT89C51單片機(jī)LCD顯示閘門開關(guān)門控信號圖2.4方案四原理框圖2.3 本次設(shè)計(jì)采用的方案與選用依據(jù)方案一采用的是中小規(guī)模數(shù)字集成電路,雖然能夠?qū)崿F(xiàn)頻率的測量,但其功能擴(kuò)展不易實(shí)現(xiàn),智能化程度也不高,不符合目前數(shù)字頻率計(jì)的發(fā)展要求。方案二利用了PLD的可編程和大規(guī)模集成的特點(diǎn),使電路大為簡化,但測量精度不夠高,導(dǎo)致系統(tǒng)性價(jià)比降低,系統(tǒng)功能擴(kuò)展受到限制。方案三的設(shè)計(jì)思路是非常簡單的,電路也不復(fù)雜,但由于它采用的是專用頻率計(jì)模塊設(shè)計(jì),不符合我們的設(shè)計(jì)要求,所以就不予考慮了。本數(shù)字頻率計(jì)采用單片

14、機(jī)AT89C51作為控制核心,門控信號由AT89C51部的計(jì)數(shù)/定時(shí)器產(chǎn)生。采用一個(gè)LCD1602顯示器動(dòng)態(tài)顯示6位數(shù)。測量圍從1Hz10kHz的正弦波、方波、三角波。由于本人水平有限,最后采用電子計(jì)數(shù)式測量方法中的脈沖定時(shí)測頻法,其具有精度高、測量圍寬、顯示醒目直觀、測量迅速以與便于實(shí)現(xiàn)測量過程自動(dòng)化等優(yōu)點(diǎn)。單片機(jī)設(shè)計(jì)數(shù)字頻率計(jì)有著很多的優(yōu)點(diǎn):(1)集成度高。(2)系統(tǒng)結(jié)構(gòu)簡單,性價(jià)比高。(3)系統(tǒng)擴(kuò)展方便。(4)抗干擾性能強(qiáng),可靠性高。(5)處理能力強(qiáng),速度快。(6)開發(fā)方便。(7)兼容性好。第三章 系統(tǒng)硬件設(shè)計(jì)3.1 數(shù)字頻率計(jì)的工作原理3.1.1 一般數(shù)字式頻率計(jì)的原理所謂“頻率”,就

15、是周期性信號在單位時(shí)間(1s)變化的次數(shù)。若在一定時(shí)間間隔T測得這個(gè)周期性信號的重復(fù)變化次數(shù)N,則其頻率可表示為f=N/T。其中脈沖形成電路的作用是將被測信號變成脈沖信號,其重復(fù)頻率等于被測頻率fx。時(shí)間基準(zhǔn)信號發(fā)生器提供標(biāo)準(zhǔn)的時(shí)間脈沖信號,若其周期為1s,則門控電路的輸出信號持續(xù)時(shí)間亦準(zhǔn)確地等于1s。閘門電路由標(biāo)準(zhǔn)秒信號進(jìn)行控制,當(dāng)秒信號來到時(shí),閘門開通,被測脈沖信號通過閘門送到計(jì)數(shù)譯碼顯示電路。秒信號結(jié)束時(shí)閘門關(guān)閉,計(jì)數(shù)器停止計(jì)數(shù)。由于計(jì)數(shù)器計(jì)得的脈沖數(shù)N是在1s時(shí)間的累計(jì)數(shù),所以被測頻率fx=NHz。3.1.2 基于單片機(jī)的數(shù)字頻率計(jì)的原理單片機(jī)部有兩個(gè)定時(shí)/計(jì)數(shù)器T0和T1。在測量過程

16、中我們利用這兩個(gè)定時(shí)/計(jì)數(shù)器,其中T0用作定時(shí),T1來計(jì)數(shù)外來脈沖數(shù)。單片機(jī)外接12MHZ的晶振,定時(shí)/計(jì)數(shù)器的最大定時(shí)時(shí)間是65.356ms,我們可以采用軟件計(jì)數(shù)器來進(jìn)行定時(shí)設(shè)計(jì)。先用定時(shí)/計(jì)數(shù)器T0制作一個(gè)50ms的定時(shí)器,定時(shí)時(shí)間到后將軟件計(jì)數(shù)器中值加一當(dāng)軟件計(jì)數(shù)器到20,就可以實(shí)現(xiàn)定時(shí)1s。當(dāng)定時(shí)結(jié)束時(shí),定時(shí)/計(jì)數(shù)器T1計(jì)數(shù)的數(shù)送入顯示電路,從顯示電路中讀出的總脈沖個(gè)數(shù)即是待測信號的頻率值。該頻率計(jì)硬件較為簡單,但需要注意的是單片機(jī)所測量的電平信號必須是直流TTL信號,所以在測量前必須把非TTL信號轉(zhuǎn)化為TTL信號。3.2 電路原理圖與其主要硬件部分待測信號放大電路波形變換、整形分頻電

17、路閘門控制單片機(jī)顯示電路圖3.1電路原理圖主要硬件電路有放大整形電路,分頻電路,主控電路(單片機(jī)),顯示電路四大部分。3.3 放大整形電路放大整形電路的必要性:因?yàn)樵趩纹瑱C(jī)計(jì)數(shù)中只能對脈沖波進(jìn)行計(jì)數(shù),而實(shí)際中需要測量的頻率的信號是多種多樣的,有脈沖波,還有可能有正弦波、三角波等,所以需要一個(gè)電路把待測信號可以進(jìn)行計(jì)數(shù)的脈沖波。通過放大整形電路將正弦輸入信號fx整形成同頻率方波fo,幅值過大的被測信號經(jīng)過分壓器分壓送入后級放大器,以避免波形失真。而小信號經(jīng)過放大、整形通道電路來提高系統(tǒng)的測量精度和靈敏度。放大電路運(yùn)用單運(yùn)算放大器LM138,整形電路運(yùn)用7414六反相器(施密特觸發(fā)器)。單運(yùn)算放大

18、器LM138與其它種類的通用型運(yùn)放相比具有電壓轉(zhuǎn)換速率高、頻帶寬、輸出動(dòng)態(tài)圍大、較完善的保護(hù)電路等突出優(yōu)點(diǎn)。適合于在脈沖信號放大器、寬帶放大器、中頻放大器、寬頻帶信號發(fā)生器、快速A/D轉(zhuǎn)換器。其參數(shù)為:輸入失調(diào)電壓4mV;偏置電流:150nA增益帶寬積:15MHz轉(zhuǎn)換速率:70V/uS耗電流:5mA電源:+/-20V利用74LS14六反相器(施密特觸發(fā))可將三角波、正弦波等變成矩形波。另外利用施密特觸發(fā)器的脈沖波的整形可以獲得較理想的矩形脈沖。圖3.2 放大整形電路圖3.3 LM318芯片圖3.4 分頻電路由于單片機(jī)的計(jì)數(shù)個(gè)數(shù)是有限的,最大可以計(jì)到65536,而在實(shí)際工程測量中所測得頻率很大,

19、甚至能達(dá)到上百兆赫茲,遠(yuǎn)遠(yuǎn)超出單片機(jī)所測量圍,采用分頻電路,可以將待測信號成倍的縮放,然后進(jìn)行測量。分頻器電路采用計(jì)數(shù)器構(gòu)成分頻電路。74LS90計(jì)數(shù)器是一種中規(guī)模二一五進(jìn)制計(jì)數(shù)器。表3.1 74LS90功能表復(fù)位輸入輸出R1 R2 S1   S2QD QC QB QAH H   L   ×H H   ×   L× ×   H   HX L   ×   LL 

20、5;   L   ×L ×   ×   L× L   L   ×L L L LL L L LH L L H計(jì)      數(shù)計(jì)      數(shù)計(jì)      數(shù)計(jì)      數(shù)A 將輸出QA與輸入B相接,構(gòu)成8421BCD碼計(jì)數(shù)器;B

21、將輸出QD與輸入A相接,構(gòu)成5421BCD碼計(jì)數(shù)器;C 表中H為高電平、L為低電平、×為不定狀態(tài)。74LS90邏輯電路圖如表3.1所示,它由四個(gè)主從JK觸發(fā)器和一些附加門電路組成,整個(gè)電路可分兩部分,其中FA觸發(fā)器構(gòu)成一位二進(jìn)制計(jì)數(shù)器;FD、FC、FB構(gòu)成異步五進(jìn)制計(jì)數(shù)器,在74LS90計(jì)數(shù)器電路中,設(shè)有專用置“0”端R1、R2和置位(置“9”)端S1、S2。74LS90具有如下的五種基本工作方式:(1)五分頻:即由FD、FC、和FB組成的異步五進(jìn)制計(jì)數(shù)器工作方式。(2)十分頻(8421碼):將QA與CK2聯(lián)接,可構(gòu)成8421碼十分頻電路。(3)六分頻:在十分頻(8421碼)的基礎(chǔ)上

22、,將QB端接R1,QC端接R2。其計(jì)數(shù)順序?yàn)?00101,當(dāng)?shù)诹鶄€(gè)脈沖作用后,出現(xiàn)狀態(tài)QCQBQA=110,利用QBQC=11反饋到R1和R2的方式使電路置“0”。 (4) 九分頻:QAR1、QDR2,構(gòu)成原理同六分頻。(5)十分頻(5421碼):將五進(jìn)制計(jì)數(shù)器的輸出端QD接二進(jìn)制計(jì)數(shù)器的脈沖輸入端CK1,即可構(gòu)成5421碼十分頻工作方式。 此外,據(jù)功能表可知,構(gòu)成上述五種工作方式時(shí),S1、S2端最少應(yīng)有一端接地;構(gòu)成五分頻和十分頻時(shí),R1、R2端亦必須有一端接地。圖3.4 74LS90引腳圖3.5 單片機(jī)AT89C51簡介單片機(jī)(Single-Chip-Microcomputer),又稱單片

23、微控器,是一種集成電路芯片,采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù)處理能力(如算術(shù)運(yùn)算、邏輯運(yùn)算、數(shù)據(jù)傳送、中斷處理)的微處理器(CPU),隨機(jī)存取數(shù)據(jù)存儲器(RAM)、只讀程序存儲器(ROM)、輸入/輸出電路(I/O)、定時(shí)/計(jì)數(shù)器、中斷系統(tǒng)、串行通訊口,可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模數(shù)轉(zhuǎn)換等電路集成到一個(gè)半導(dǎo)體芯片上,構(gòu)成一個(gè)最小而又完善的計(jì)算機(jī)系統(tǒng)。它們之間相互連接的結(jié)構(gòu)框圖如下圖所示。這些電路能在軟件的控制下準(zhǔn)確、迅速、高效地完成程序設(shè)計(jì)者事先規(guī)定的任務(wù)。單片機(jī)結(jié)構(gòu)上的設(shè)計(jì),在硬件.指令系統(tǒng)與I/O能力等方面都有獨(dú)到之處,具有較強(qiáng)而有效的控制功能。其結(jié)構(gòu)圖如下所示。圖3.5 單片

24、機(jī)結(jié)構(gòu)圖雖然單片機(jī)只是一個(gè)芯片,但無論從組成還是從其邏輯功能上看,都具有微機(jī)系統(tǒng)的含義。另一方面,單片機(jī)畢竟是一個(gè)芯片,只有外加所需的輸入輸出設(shè)備,才能構(gòu)成實(shí)用的單片機(jī)應(yīng)用系統(tǒng)。單片機(jī)有著微處理器所不具備的功能,它可單獨(dú)完成現(xiàn)代工業(yè)控制所要求的智能化控制功能,這是單片機(jī)最大的特征。單片機(jī)的應(yīng)用極為廣泛,它涉與智能儀器儀表、工業(yè)控制、計(jì)算機(jī)網(wǎng)絡(luò)和通信以與醫(yī)用設(shè)備等領(lǐng)域。它以無與倫比的高性能、低價(jià)位贏得了廣大電子開發(fā)者的喜愛。AT89C51是一種帶4K字節(jié)FLASH存儲器(FPEROMFlash Programmable and Erasable Read Only Memory)的低電壓、高性能

25、CMOS 8位微處理器。其主要特性:與MCS-51 兼容 4K字節(jié)可編程FLASH存儲器 壽命:1000寫/擦循環(huán) 數(shù)據(jù)保留時(shí)間:10年 全靜態(tài)工作:0Hz-24MHz 三級程序存儲器鎖定 128×8位部RAM 32可編程I/O線 兩個(gè)16位定時(shí)器/計(jì)數(shù)器 5個(gè)中斷源 可編程串行通道 低功耗的閑置和掉電模式 片振蕩器和時(shí)鐘電路 管腳說明:VCC:供電電壓。 GND:接地。 P0口:P0口為一個(gè)8位漏級開路雙向I/O口,每腳可吸收8TTL門電流。當(dāng)P0口的管腳第一次寫1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作

26、為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。 P1口:P1口是一個(gè)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。 P2口:P2口為一個(gè)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門電流,當(dāng)P2口被寫“1”時(shí),其管腳被部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于部上拉的緣故。P2口當(dāng)用于外部程序存儲器或16位地址外部

27、數(shù)據(jù)存儲器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用部上拉優(yōu)勢,當(dāng)對外部八位地址數(shù)據(jù)存儲器進(jìn)行讀寫時(shí),P2口輸出其特殊功能寄存器的容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號和控制信號。 P3口:P3口管腳是8個(gè)帶部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門電流。當(dāng)P3口寫入“1”后,它們被部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。 P3口也可作為AT89C51的一些特殊功能口,如下表所示: 口管腳 備選功能 P3.0 RXD(串行輸入口) P3.1 TXD(串行輸出口) P3.2 /INT0(外部

28、中斷0) P3.3 /INT1(外部中斷1) P3.4 T0(記時(shí)器0外部輸入) P3.5 T1(記時(shí)器1外部輸入) P3.6 /WR(外部數(shù)據(jù)存儲器寫選通) P3.7 /RD(外部數(shù)據(jù)存儲器讀選通) P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號。 RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。ALE/PROG:當(dāng)訪問外部存儲器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的1/6。因此它可用作對外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)

29、用作外部數(shù)據(jù)存儲器時(shí),將跳過一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時(shí), ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。 /PSEN:外部程序存儲器的選通信號。在由外部程序存儲器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲器時(shí),這兩次有效的/PSEN信號將不出現(xiàn)。 /EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲器(0000H-FFFFH),不管是否有部程序存儲器。注意加密方式1時(shí),/EA將部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間部程序存儲器。在FL

30、ASH編程期間,此引腳也用于施加12V編程電源(VPP)。 XTAL1:反向振蕩放大器的輸入與部時(shí)鐘工作電路的輸入。 XTAL2:來自反向振蕩器的輸出。 振蕩器特性: XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片振蕩器。石晶振蕩和瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。有余輸入至部時(shí)鐘信號要通過一個(gè)二分頻觸發(fā)器,因此對外部時(shí)鐘信號的脈寬無任何要求,但必須保證脈沖的高低電平要求的寬度。3.6 顯示電路本次設(shè)計(jì)最后采用1602LCD作為顯示電路。采用LCD1602因其微功耗、體積小、顯示容豐富、超薄輕巧。1602采用標(biāo)準(zhǔn)的16腳接口,其中: 第1

31、腳:VSS為地電源第2腳:VDD接5V正電源第3腳:V0為液晶顯示器對比度調(diào)整端,接正電源時(shí)對比度最弱,接地電源時(shí)對比度最高,對比度過高時(shí)會產(chǎn)生“鬼影”,使用時(shí)可以通過一個(gè)10K的電位器調(diào)整對比度第4腳:RS為寄存器選擇,高電平時(shí)選擇數(shù)據(jù)寄存器、低電平時(shí)選擇指令寄存器。第5腳:RW為讀寫信號線,高電平時(shí)進(jìn)行讀操作,低電平時(shí)進(jìn)行寫操作。當(dāng)RS和RW共同為低電平時(shí)可以寫入指令或者顯示地址,當(dāng)RS為低電平RW為高電平時(shí)可以讀忙信號,當(dāng)RS為高電平RW為低電平時(shí)可以寫入數(shù)據(jù)。第6腳:E端為使能端,當(dāng)E端由高電平跳變成低電平時(shí),液晶模塊執(zhí)行命令。第714腳:D0D7為8位雙向數(shù)據(jù)線。圖3.6 LCD16

32、02引腳圖表3.2 LCD1602的主要技術(shù)參數(shù):顯示容量:16*2個(gè)字符芯片工作電壓:4.55.5V工作電流:2.0mA(5.0V)模塊最佳工作電壓:5.0V字符尺寸:2.95*4.35(WXH)mm第四章系統(tǒng)軟件設(shè)計(jì)軟件編程部分是設(shè)計(jì)的電路能否成功的關(guān)鍵。因?yàn)閱纹瑱C(jī)具有編程和自動(dòng)運(yùn)算的功能,所以產(chǎn)品中有很多的功能都是通過軟件的形式實(shí)現(xiàn)的。數(shù)字頻率計(jì)的系統(tǒng)軟件設(shè)計(jì)采用模塊化設(shè)計(jì)方法。整個(gè)系統(tǒng)由初始化模塊、定時(shí)器中斷服務(wù)模塊、信號周期測量模和LCD顯示模塊。初始化模塊主要是對進(jìn)行初始定時(shí)器/計(jì)數(shù)器T0、T1和中斷源的初始化。定時(shí)器中斷服務(wù)模塊是本次設(shè)計(jì)的重點(diǎn)。T0設(shè)置為定時(shí)器方式1,T1設(shè)置為

33、計(jì)數(shù)器方式1;當(dāng)待測信號到來,用單片機(jī)外部兩個(gè)終端INT0和INT1來開始對定時(shí)計(jì)數(shù)器T0計(jì)時(shí)和T1計(jì)數(shù)。本次設(shè)計(jì)單片機(jī)采用部時(shí)鐘方式,接12MHz的晶振,定時(shí)/計(jì)數(shù)器T0工作在定時(shí)狀態(tài)下,最大定時(shí)時(shí)間為65.536ms,達(dá)不到1秒的定時(shí),所以采用定時(shí)50ms,共定時(shí)20次,即可完成1秒的定時(shí)功能。對于頻率的概念就是在一秒只數(shù)脈沖的個(gè)數(shù),即為頻率值。所以T1工作在定時(shí)狀態(tài)下,每定時(shí)1秒中到,就停止T1的計(jì)數(shù),而從T1的計(jì)數(shù)單元中讀取計(jì)數(shù)的數(shù)值,然后進(jìn)行數(shù)據(jù)處理。送到LCD顯示出來。LCD顯示模塊包括LCD初始化和浮點(diǎn)數(shù)到ASCII碼轉(zhuǎn)換模塊。LCD初始化主要進(jìn)行顯示器顯示模式設(shè)置和顯示開關(guān)與光

34、標(biāo)設(shè)置,在本次設(shè)計(jì)中定義其顯示模式為:8位數(shù)據(jù)端口,5*7矩陣,16*2顯示,并且開啟顯示無光標(biāo)。在LCD1602的顯示中,數(shù)據(jù)是以ASCII碼的形式在屏幕上顯示出來的,而通過系統(tǒng)測量出的頻率值為浮點(diǎn)數(shù)形式,因此必須將浮點(diǎn)數(shù)形式的數(shù)據(jù)轉(zhuǎn)化為ASCII碼的形式才能顯示出來。初始化開始等待待測信號對待測信號放大整形、分頻啟動(dòng)T0、T1定時(shí)時(shí)間到1sT0停止計(jì)時(shí)T1停止計(jì)數(shù)計(jì)算頻率送出顯示結(jié)束4.1軟件流程圖第五章 系統(tǒng)仿真與調(diào)試5.1 仿真軟件簡介本次基于單片機(jī)的頻率計(jì)設(shè)計(jì)是通過Proteus ISIS軟件來進(jìn)行模擬和仿真的。Proteus是目前最好的模擬單片機(jī)外圍器件的工具,可以仿真51系列、A

35、VR、PIC等常用的MCU與其外圍電路(如LCD、RAM、鍵盤、馬達(dá)、LED、AD/DA等)。Proteus ISIS 是英國Labcenter 公司開發(fā)的電路分析與實(shí)物仿真軟件。它運(yùn)行于Windows 操作系統(tǒng)上,可以仿真、分析(SPICE)各種模擬器件和集成電路。Proteus 與其它單片機(jī)仿真軟件不同的是,它不僅能仿真單片機(jī)CPU 的工作情況,也能仿真單片機(jī)外圍電路或沒有單片機(jī)參與的其它電路的工作情況。因此在仿真和程序調(diào)試時(shí),關(guān)心的不再是某些語句執(zhí)行時(shí)單片機(jī)寄存器和存儲器容的改變,而是從工程的角度直接看程序運(yùn)行和電路工作的過程和結(jié)果。該軟件的特點(diǎn)是: 實(shí)現(xiàn)了單片機(jī)仿真和SPICE 電路仿

36、真相結(jié)合。具有模擬電路仿真、數(shù)字電路仿真、單片機(jī)與其外圍電路組成的系統(tǒng)的仿真、RS232 動(dòng)態(tài)仿真、I2C 調(diào)試器、SPI 調(diào)試器、鍵盤和LCD 系統(tǒng)仿真的功能;有各種虛擬儀器,如示波器、邏輯分析儀、信號發(fā)生器等。 支持主流單片機(jī)系統(tǒng)的仿真。目前支持的單片機(jī)類型有:68000 系列、8051 系列、AVR 系列、PIC12 系列、PIC16 系列、PIC18 系列、Z80 系列、HC11系列以與各種外圍芯片。 提供軟件調(diào)試功能。在硬件仿真系統(tǒng)中具有全速、單步、設(shè)置斷點(diǎn)等調(diào)試功能,同時(shí)可以觀察各個(gè)變量、寄存器等的當(dāng)前狀態(tài),因此在該軟件仿真系統(tǒng)中,也必須具有這些功能;同時(shí)支持第三方的軟件編譯和調(diào)試

37、環(huán)境,如Keil C51 uVision2 等軟件。 具有強(qiáng)大的原理圖繪制功能??傊撥浖且豢罴瘑纹瑱C(jī)和SPICE 分析于一身的仿真軟件,功能極其強(qiáng)大。5.2 用Proteus 軟件虛擬單片機(jī)實(shí)驗(yàn)的優(yōu)點(diǎn)采用Proteus 仿真軟件進(jìn)行虛擬單片機(jī)實(shí)驗(yàn),具有比較明顯的優(yōu)勢,其實(shí)驗(yàn)實(shí)習(xí)容全面、硬件投入少、可自行實(shí)驗(yàn)、實(shí)驗(yàn)過程中損耗小、與工程實(shí)踐最為接近等。當(dāng)然其存在的缺點(diǎn)也是有的。其有點(diǎn)有以下幾點(diǎn): 容全面 硬件投入少,經(jīng)濟(jì)優(yōu)勢明顯 學(xué)可自行實(shí)驗(yàn),鍛煉解決實(shí)際工程問題的能力 實(shí)驗(yàn)過程中損耗小,基本沒有元器件的損耗問題 與工程實(shí)踐最為接近,可以了解實(shí)際問題的解決過程 大量的例,可供參考處理 協(xié)作能

38、力的培養(yǎng)和鍛煉Proteus不僅可以作為學(xué)校單片機(jī)(電子等)實(shí)驗(yàn)的模擬仿真,也可以作為個(gè)人工作室的仿真實(shí)驗(yàn)。作為電子技術(shù)或控制類相關(guān)專業(yè)的學(xué)生和工程技術(shù)人員,在學(xué)習(xí)了該軟件后,可以充分地利用它所提供的資源,幫助自己提高工程應(yīng)用能力。5.3 系統(tǒng)仿真5.3.1 正弦波的放大整形電路仿真5.3.2 方波的測頻仿真5.3.3 正弦波的整體仿真5.4 誤差分析數(shù)字頻率計(jì)測量數(shù)據(jù)時(shí),由于各種原因,不可避免地將產(chǎn)生誤差。誤差的大小將直接影響到產(chǎn)品性能的好壞,因此最大限度地減小測量誤差是大多數(shù)數(shù)字測量儀器的目的。數(shù)字頻率計(jì)測量的誤差由計(jì)數(shù)誤差(),時(shí)標(biāo)信號的誤差()和被測信號噪聲引起的觸發(fā)誤差()三部分組成

39、,即。 無論閘門時(shí)間長短,計(jì)數(shù)法測頻總存在1個(gè)單位的量化誤差,即計(jì)數(shù)誤差為±1。通過計(jì)數(shù)器直接測頻時(shí)的閘門時(shí)間和測周期時(shí)的時(shí)標(biāo)脈沖都是由石英晶體振蕩器的輸出經(jīng)過分頻或倍頻得到的。因此,測頻時(shí)的閘門時(shí)間誤差和測周期時(shí)的時(shí)標(biāo)信號誤差就是時(shí)基誤差,也就是計(jì)數(shù)器石英晶體振蕩器的頻率誤差。通用計(jì)數(shù)器在測量周期時(shí),由于被測信號疊加有噪聲,當(dāng)被測信號由施密特觸發(fā)器整形成方波進(jìn)入下一級電路時(shí),信號上疊加的噪聲會使電路的觸發(fā)時(shí)刻提前或滯后,從而帶來測量誤差。此測量誤差即為觸發(fā)誤差。減小誤差的方法:(1)在實(shí)際測量中,增加顯示的有效數(shù)字位數(shù)可降低計(jì)數(shù)誤差對直接測頻法和測周期法的影響。(2)減少時(shí)基誤差的

40、措施1、使用性能更好的外部頻率標(biāo)準(zhǔn);2、使用前對石英晶體振蕩器進(jìn)行校準(zhǔn);(3)減少觸發(fā)誤差的措施1、提高被測信號的信號噪聲比;2、增加測量時(shí)間。結(jié)論本文介紹了一種基于單片機(jī)AT89C51制作數(shù)字頻率計(jì)的設(shè)計(jì)方法。其測量原理非常簡單,硬件電路制作方便,軟件編程易于實(shí)現(xiàn),所測得的頻率圍較寬,精度較高,平均相對誤差±1%,是在允許的測量誤差圍。此次設(shè)計(jì)的數(shù)字頻率計(jì)達(dá)到了測量頻率的目的,但在實(shí)際制作和測試過程中,由于自己知識有限,時(shí)間短和經(jīng)驗(yàn)不足等原因,還是出現(xiàn)了一些問題和需要繼續(xù)改進(jìn)、完善的地方。在編寫程序時(shí),閘門時(shí)間沒能準(zhǔn)確地微調(diào)至1秒,致使測量的誤差比理想的要大。由于單片機(jī)部具有豐富的

41、存儲資源和強(qiáng)大的數(shù)據(jù)處理能力,因此采用單片機(jī)設(shè)計(jì)的數(shù)字頻率計(jì)只需要改動(dòng)很少的硬件部分就可以和其他的自動(dòng)化儀表組成多功能控制系統(tǒng),測量速度得到提高,用于連續(xù)測量的控制系統(tǒng)是非常有價(jià)值和意義的。參考文獻(xiàn)1 華成英 童詩白.模擬電子技術(shù)基礎(chǔ)第四版. M :高等教育,2006.52 閻石.數(shù)字電子技術(shù)基礎(chǔ)第五版M.:高等教育,2006.53 牛昱光.單片機(jī)原理與接口技術(shù)M.:電子工業(yè),2008.24 龍澤明, 顧立志. MCS-51單片機(jī)原理與工程應(yīng)用M. :國防工業(yè), 2005. 5 花. MCS-51 系列單片機(jī)實(shí)用接口技術(shù)M. :航空航天大學(xué), 2000.6 風(fēng)強(qiáng). 單片機(jī)語言 C51

42、 應(yīng)用實(shí)戰(zhàn)集錦M. :電子工業(yè), 2005.7 竇振中. 單片機(jī)外圍器件實(shí)用手冊存儲分冊M. :航空航天大學(xué),2002.8 黃智偉. 全國大學(xué)生電子設(shè)計(jì)大賽系統(tǒng)設(shè)計(jì)M. :航空航天大學(xué) 2006.129 洪潤 秀英 亞凡. 單片機(jī)應(yīng)用設(shè)計(jì)200例(下冊)M.:航空航天大學(xué)10 林志琦、郎建軍、會杰、佟大鵬.基于Proteus的單片機(jī)可視化硬件仿真M.:航空航天大學(xué),2006.911 周潤景、袁偉亭、景曉松.Proteus在MCS51和ARM7系統(tǒng)中的應(yīng)用百例M.:電子工業(yè),2006.10.12 全國大學(xué)生電子設(shè)計(jì)競賽獲獎(jiǎng)作品匯編M.:理工大學(xué),2004.813 勇 數(shù)字頻率計(jì)的測量誤差N.學(xué)院

43、學(xué)報(bào),2010.614 國光 基于單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)和仿真N.工業(yè)大學(xué)學(xué)報(bào),2008.315 高明華 簡易數(shù)字頻率計(jì)的設(shè)計(jì)J.大眾科技,2006年第二期16 王捷、艾紅 數(shù)字頻率計(jì)分頻電路設(shè)計(jì)J.計(jì)算機(jī)測量與控制2003.11第二期17 國興 用單片機(jī)制作數(shù)字頻率計(jì)J.電子制作2005年第二期18 基于51單片機(jī)的數(shù)字頻率計(jì).福星電子網(wǎng) fxdzw.19 竹琴、白澤生 一種基于單片機(jī)的數(shù)字頻率計(jì)的實(shí)現(xiàn)J現(xiàn)代電子技術(shù)2010年第一期20 史軍、雷正紅 數(shù)字頻率計(jì)的設(shè)計(jì)N.河西學(xué)院報(bào)2005年第21卷第五期附錄一 系統(tǒng)主電路圖附錄二主程序ORG 0000H JMP MAIN ;主程序開始 O

44、RG 000BH JMP TIMER_INT ;定時(shí)器T0中斷服務(wù)程序 ORG 001BH ;定時(shí)器T1中斷服務(wù)程序 JMP TIMER1 ORG 0030H-MAIN: MOV SP,#60H ;設(shè)置SP指針 LCALL PRO_SET ;初始化 CALL SET_LCD LCALL TIM_T0初始化程序-PRO_SET: MOV A,#00H MOV B,#00H MOV 2AH,A MOV P0,#0FFH MOV P1,#0FFH MOV P2,#0FFH MOV INT_H,#00H MOV INT_L,#00H MOV INT_G,#00H MOV T_S,#00H MOV T_

45、H,#00H MOV T_M,#00H MOV T_G,#00H MOV TIMCOUNT,#00H MOV TIMER_H,#04CH ;定時(shí) 50 MS MOV TIMER_L,#10H ; CLR BEEP SETB P3.5 ;P3.5端口置輸入狀態(tài) RET ;T1(TIMER1的外部輸入腳)-INT0中斷服務(wù)子程序*INT0_SERV: CLR EX0 DOING,INT0_NEX1 SETB TR0 SETB TR1 SETB DOING RETI INT0_NEX1: CLR TR0 CLR TR1 SETB FINISH RETI T0中斷服務(wù)子程序T0_SERV: INC n

46、 SETB EX0 RETIINT1中斷服務(wù)子程序INT1_SERV: DOING,INT1_NEX1 SETB TR0 SETB DOING RETI INT1_NEX1: CLR TR0 CLR EX1 SETB FINISH RETI T1計(jì)數(shù)器中斷服務(wù)子程序計(jì)T1計(jì)數(shù)器溢出次數(shù)-TIMER1: INC 2AH RETI-T0定時(shí)一秒子程序START:    MOV TMOD, #51H       ;/*01010001 T1計(jì)數(shù),T0定時(shí)*/     MOV TH0

47、, #HIGH(65536 - 50000) ;50ms12MHz    MOV TL0, #LOW (65536 - 50000)    MOV TH1, #0    MOV TL1, #0    SETB TR0    SETB TR1    SETB ET0    SETB EA    MOV R7, #20    SJM

48、P $-T0_INT:          ;50ms執(zhí)行一次    MOV TL0, #LOW (65536 - 50000) ;重新寫入初始值    MOV TH0, #HIGH(65536 - 50000) ;50ms12MHz    DJNZ R7, T0-LCD1602是慢速顯示器件,故100MS顯示一次。-MAIN1: MOV R5,40MAIN2: ACALL DELAY1 ;3ms DJNZ R5,MAIN2 ACALL SBIN_SBCD CALL CONV JMP MA

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論