超聲波倒車?yán)走_(dá)系統(tǒng)的設(shè)計(jì)說(shuō)明_第1頁(yè)
超聲波倒車?yán)走_(dá)系統(tǒng)的設(shè)計(jì)說(shuō)明_第2頁(yè)
超聲波倒車?yán)走_(dá)系統(tǒng)的設(shè)計(jì)說(shuō)明_第3頁(yè)
超聲波倒車?yán)走_(dá)系統(tǒng)的設(shè)計(jì)說(shuō)明_第4頁(yè)
超聲波倒車?yán)走_(dá)系統(tǒng)的設(shè)計(jì)說(shuō)明_第5頁(yè)
已閱讀5頁(yè),還剩14頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、超聲波倒車?yán)走_(dá)系統(tǒng)的設(shè)計(jì)目錄第1章 緒論1第2章 總體方案與原理22.1總體設(shè)計(jì)方案22.2超聲波測(cè)距32.2.1 超聲波測(cè)距原理32.2.2 溫度對(duì)超聲波影響32.2.3 超聲波測(cè)距計(jì)算方法4第3章 硬件設(shè)計(jì)53.1 STC89C5253.1.1 芯片簡(jiǎn)介53.1.2 主要功能53.1.2 引腳介紹63.1.3 單片機(jī)控制模塊83.2 LED數(shù)碼管93.2.1 LED數(shù)碼管概述93.2.2 LED數(shù)碼管顯示93.3 蜂鳴器電路103.4 超聲波電路11第4章 軟件設(shè)計(jì)124.1 程序整體思路124.2 主程序流程設(shè)計(jì)134.2 超聲波測(cè)距的算法設(shè)計(jì)134.4 超聲波發(fā)送與接收中斷程序144.

2、5 顯示子程序和蜂鳴報(bào)警子程序164.6 系統(tǒng)的軟硬件調(diào)試17第5章 設(shè)計(jì)總結(jié)18參考文獻(xiàn)1918 / 19第1章 緒論倒車?yán)走_(dá)又稱泊車輔助系統(tǒng),是汽車泊車安全輔助裝置,能以聲音或者更為直觀的顯示告知駕駛員周圍障礙物的情況,解除了駕駛員泊車和起動(dòng)車輛時(shí)前后左右探視所引起的困擾,并幫助駕駛員掃除了視野死角和視線模糊的缺陷,提高了安全性。 超聲波測(cè)距由于其能夠進(jìn)行非接觸測(cè)量和相對(duì)較高的測(cè)量精度,越來(lái)越被人們所重視。就目前形勢(shì)來(lái)看,汽車市場(chǎng)的快速發(fā)展將帶動(dòng)倒車?yán)走_(dá)市場(chǎng)的繁榮。國(guó)倒車?yán)走_(dá)主流市場(chǎng)已經(jīng)開始有進(jìn)口高檔汽車向中低檔汽車發(fā)展。技術(shù)上向著單芯片功能成靈敏度更高、可視化發(fā)展,設(shè)備趨于小型化、人性化

3、、智能化等方向發(fā)展。由此可見(jiàn),超聲波汽車倒車?yán)走_(dá)系統(tǒng)將會(huì)在人類今后的生活中扮演越來(lái)越重的角色,為人類的發(fā)展作出重要貢獻(xiàn)。 超聲波倒車?yán)走_(dá)系統(tǒng)一般由超聲波傳感器(俗稱探頭)、控制器和顯示器等部分組成,現(xiàn)在市場(chǎng)上的倒車?yán)走_(dá)大多采用超聲波測(cè)距原理,駕駛者在倒車時(shí),啟動(dòng)倒車?yán)走_(dá),在控制器的控制下,由裝置于車尾保險(xiǎn)杠上的探頭發(fā)送超聲波,遇到障礙物,產(chǎn)生回波信號(hào),傳感器接收到回波信號(hào)后經(jīng)控制器進(jìn)行數(shù)據(jù)處理,判斷出障礙物的位置,由顯示器顯示距離并發(fā)出警示信號(hào),得到與時(shí)警示,從而使駕駛者倒車時(shí)做到心中有數(shù),使倒車變得更輕松。超聲波是指頻率在 20kHz106kHz的機(jī)械波,波速一般為 1500m/s,波長(zhǎng)為

4、0.01cm10cm。超聲波的波長(zhǎng)遠(yuǎn)大于分子尺寸 ,說(shuō)明超聲波本身不能直接對(duì)分子起作用 ,而是通過(guò)周圍環(huán)境的物理作用影響分子 ,所以超聲波的作用與其作用的環(huán)境密切相關(guān)。超聲波既是一種波動(dòng)形式 ,又是一種能量形式 ,在傳播過(guò)程中與媒介相互作用產(chǎn)生超聲效應(yīng)。超聲波與媒介相互作用可分為機(jī)械作用、空化作用和熱作用。隨著科學(xué)技術(shù)的發(fā)展 ,相關(guān)技術(shù)領(lǐng)域相互滲透 ,使超聲波技術(shù)廣泛應(yīng)用于工業(yè)、化工、醫(yī)學(xué)、石油化工等許多領(lǐng)域。超聲波作為一種特殊的能量輸入方式 ,所具有的高效能在材料化學(xué)中起到光、電、熱方法所無(wú)法達(dá)到的作用 。僅從超聲波在液體中釋放的巨大能量來(lái)說(shuō)就是其他方法所望塵莫與的 ,更不用說(shuō)超聲波定量控制

5、的效果了。近年來(lái) ,隨著超聲波技術(shù)的日益發(fā)展與成熟 ,其在新材料合成、化學(xué)反應(yīng)、傳遞過(guò)程的強(qiáng)化以與廢水處理等領(lǐng)域都得到了廣泛的應(yīng)用 。在材料合成中 ,尤其是納米材料的制備中 ,超聲波技術(shù)有著極大的潛力。通過(guò)超聲波方法制備納米材料 ,達(dá)到了目前我們采用激光、紫外線照射和熱電作用所無(wú)法實(shí)現(xiàn)的目標(biāo) ,具有很好的前景。第2章 總體方案與原理2.1總體設(shè)計(jì)方案該系統(tǒng)設(shè)計(jì)由超聲波發(fā)射電路、超聲波接收電路、電源電路、溫度補(bǔ)償電路、聲報(bào)警電路、鍵盤控制電路、單片機(jī)硬件接口電路與顯示報(bào)警電路組成,該系統(tǒng)的核心部分采用性能較好的AT89C52單片機(jī),下面分步介紹各硬件部分的具體設(shè)計(jì)分析。該設(shè)計(jì)的應(yīng)用背景是基于AT

6、89C52的超聲信號(hào)檢測(cè)的。單片機(jī)AT89C52發(fā)出短暫的40KHz信號(hào),反射后的超聲波經(jīng)超聲波接收器作為系統(tǒng)的輸入,鎖相環(huán)對(duì)此型號(hào)進(jìn)行技術(shù)判斷后,把相應(yīng)的計(jì)算結(jié)果送到LED顯示電路顯示,并進(jìn)行聲光報(bào)警。其發(fā)射電路通常分為調(diào)諧式和非調(diào)諧式。在調(diào)諧式電路中有調(diào)諧線圈(有時(shí)裝在探頭),諧振頻率由調(diào)諧電路的電感、電容決定,發(fā)射的超聲脈沖頻帶較窄。在非調(diào)諧式電路中沒(méi)有調(diào)諧元件,發(fā)射出的超聲頻率主要由壓電晶片的固定參數(shù)決定,頻帶較寬。將一定頻率、隔度的交流電壓加到發(fā)射傳感器的固有頻率40KHz,使其工作在諧振頻率,達(dá)到最優(yōu)的特性。發(fā)射電壓從理論上說(shuō)是越高越好,因?yàn)閷?duì)同一支發(fā)射傳感器而言,電壓越高,發(fā)射的

7、超聲功率就越大,這樣能夠在接受傳感器上接受的回波功率就比較大,對(duì)于接受電路的設(shè)計(jì)就相對(duì)簡(jiǎn)單一些。但是每一支實(shí)際的發(fā)生傳感器有其工作電壓的極限值,同時(shí)發(fā)射電路中的阻尼電阻決定了電路的阻尼情況。發(fā)射部件的點(diǎn)脈沖電壓很高,但是由于障礙物回波引起的壓電晶片產(chǎn)生的射頻電壓不過(guò)幾十毫伏,要對(duì)這樣小的信號(hào)進(jìn)行處理就必須放大到一定的幅度。接收部分就是由兩級(jí)放大電路,檢波電路與鎖相環(huán)構(gòu)成,其中包括雜波抑制電路。最終達(dá)到對(duì)回波進(jìn)行放大檢測(cè),產(chǎn)生一個(gè)單片機(jī)(AT89C52)能夠識(shí)別的中斷信號(hào)作為回波到達(dá)的標(biāo)志。超聲波發(fā)射單片機(jī)LED數(shù)碼管顯示鍵盤控制超聲波接收放大比較圖2-1 倒車?yán)走_(dá)系統(tǒng)總框圖2.2超聲波測(cè)距2.

8、2.1超聲波測(cè)距原理超聲波傳感器分機(jī)械方式和電氣方式兩類,它實(shí)際上是一種換能器,在發(fā)射端它把電能或機(jī)械能轉(zhuǎn)換成聲能,接收端則反之。本次設(shè)計(jì)超聲波傳感器采用電氣方式中的壓電式超聲波換能器,它是利用壓電晶體的諧振來(lái)工作的。它有兩個(gè)壓電晶片和一個(gè)共振板。當(dāng)它的兩極外加脈沖信號(hào),其頻率等于壓電晶片的固有振蕩頻率時(shí),壓電晶片將會(huì)發(fā)生共振,并帶動(dòng)共振板振動(dòng),產(chǎn)生超聲波。反之,如果兩電極間未外加電壓,當(dāng)共振板接收到超聲波時(shí),將壓迫壓電晶片作振動(dòng),將機(jī)械能轉(zhuǎn)換為電信號(hào),就成為超聲波接收器。在超聲波電路中,發(fā)射端輸出一系列脈沖方波,脈沖寬度越大,輸出的個(gè)數(shù)越多,能量越大,所能測(cè)的距離也越遠(yuǎn)。超聲波發(fā)射換能器與接

9、收換能器其結(jié)構(gòu)上稍有不同,使用時(shí)應(yīng)分清器件上的標(biāo)志。超聲波測(cè)距的方法有多種:如往返時(shí)間檢測(cè)、相位檢測(cè)法、聲波幅值檢測(cè)法。本實(shí)驗(yàn)采用往返時(shí)間檢測(cè)法測(cè)距。其原理是超聲波傳感器發(fā)射一定頻率的超聲波,借助空氣媒質(zhì)傳播,到達(dá)測(cè)量目標(biāo)或障礙物后反射回來(lái),經(jīng)反射后由超。圖2-2即為超聲波測(cè)距流程圖。圖2-2 超聲波測(cè)距流程圖2.2.2 溫度對(duì)超聲波影響由于超聲波也是一種聲波,其聲速C與溫度有關(guān),在常溫下,超聲波的傳播速度為340m/s,但其傳播速度V易受到空氣中的溫度、濕度、壓強(qiáng)等因素的影響,其中溫度的影響最大。一般溫度每升高1攝氏度,聲速增加約為0.6m/s。2.2.3超聲波測(cè)距計(jì)算方法利用檢測(cè)聲波發(fā)出到

10、接收到被測(cè)物反射回波的時(shí)間來(lái)測(cè)量距離其原理,對(duì)于距離較短和要求不高的場(chǎng)合我們可認(rèn)為空氣中的聲速為常數(shù),我們通過(guò)測(cè)量回波時(shí)間T利用公式:其中,S為被測(cè)距離、V為空氣中聲速、T為回波時(shí)間,可以計(jì)算出路程,這種方法不受聲波強(qiáng)度的影響,直接耦合信號(hào)的影響也可以通過(guò)設(shè)置“時(shí)間門”來(lái)加以克服。這樣可以求出距離: 第3章 硬件設(shè)計(jì)3.1 STC89C523.1.1芯片簡(jiǎn)介單片機(jī)是一種集成的電路芯塊采用了超大規(guī)模技術(shù)把具有運(yùn)算能力(如算術(shù)運(yùn)算、邏輯運(yùn)算、數(shù)據(jù)傳送、中斷處理)的微處理器(CPU),隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),只讀程序存儲(chǔ)器(ROM),輸入輸出電路(I/O口),可能還包括定時(shí)計(jì)數(shù)器,串行通信口(

11、SCI),顯示驅(qū)動(dòng)電路(LCD或LED驅(qū)動(dòng)電路),脈寬調(diào)制電路(PWM),模擬多路轉(zhuǎn)換與A/D轉(zhuǎn)換器等電路集成到一塊單片機(jī)上,構(gòu)成一個(gè)最小然而很完善的計(jì)算機(jī)系統(tǒng)。這些電路能在軟件的控制下準(zhǔn)確快速的完成程序設(shè)計(jì)者事先規(guī)定的任務(wù)??偟亩詥纹瑱C(jī)的特點(diǎn)可以歸納為以下幾個(gè)方面:集成度高、存儲(chǔ)容量大、外部擴(kuò)展能力強(qiáng)、控制功能強(qiáng)、低電壓、低功耗、性能價(jià)格比高、可靠性高這幾個(gè)方面。單片機(jī)有著微處理器所不具備的功能,它可以獨(dú)立地完成現(xiàn)代工業(yè)控制所要求的智能化控制功能這就是單片機(jī)的最大特點(diǎn)。然而單片機(jī)又不同于單板機(jī),芯片在沒(méi)有開發(fā)前,它只是具備功能極強(qiáng)的超大規(guī)模集成電路,如果賦予它特定的程序,它便是一個(gè)最小的、

12、完整的微機(jī)控制系統(tǒng)。它與單板機(jī)或個(gè)人電腦有著本質(zhì)的區(qū)別,單片機(jī)屬于芯片級(jí)應(yīng)用,需要用戶了解單片機(jī)芯片的結(jié)構(gòu)和指令系統(tǒng)以與其它集成電路應(yīng)用技術(shù)和系統(tǒng)設(shè)計(jì)所需要的理論和技術(shù),用這樣特定的芯片設(shè)計(jì)應(yīng)用程序,從而使芯片具備特定的智能STC89C5單片機(jī)是宏晶科技推出的新一代高速/低功耗/超強(qiáng)抗干擾的單片機(jī),指令代碼完全兼容傳統(tǒng)8051單片機(jī),12時(shí)鐘/機(jī)器周期和6時(shí)鐘/機(jī)器周期可以任意選擇。3.1.2 主要功能增強(qiáng)型8052單片機(jī),6時(shí)鐘/機(jī)器周期和12時(shí)鐘/機(jī)器周期可以任意選擇,指令代碼完全兼容傳統(tǒng)8051.工作電壓:5.5V3.3V(5V單片機(jī))/3.8V2.0V(3V單片機(jī))工作頻率圍:040M

13、Hz,相當(dāng)于普通8052的080MHz,實(shí)際工作頻率可達(dá)48MHz用戶應(yīng)用程序空間為8K字節(jié)片上集成512字節(jié)RAM通用I/O口(32個(gè)),復(fù)位后為:P1/P2/P3/P4是準(zhǔn)雙向口/弱上拉,P0口是漏極開路輸出,作為總線擴(kuò)展用時(shí),不用加上拉電阻,作為I/O口用時(shí),需加上拉電阻。ISP(在系統(tǒng)可編程)/IAP(在應(yīng)用可編程),無(wú)需專用編程器,無(wú)需專用仿真器,可通過(guò)串口(RxD/P3.0,TxD/P3.1)直接下載用戶程序,數(shù)秒即可完成一片具有EEPROM功能具有看門狗功能共3個(gè)16位定時(shí)器/計(jì)數(shù)器。即定時(shí)器T0、T1、T2外部中斷4路,下降沿中斷或低電平觸發(fā)電路,Power Down模式可由外

14、部中斷低電平觸發(fā)中斷方式喚醒通用異步串行口(UART),還可用定時(shí)器軟件實(shí)現(xiàn)多個(gè)UART工作溫度圍:-40+85(工業(yè)級(jí))/075(商業(yè)級(jí))3.1.2 引腳介紹圖3-1 STC89C52VCC:STC89C52 電源正端輸入,接+5V。VSS:電源地端。XTAL1:?jiǎn)涡酒到y(tǒng)時(shí)鐘的反向放大器輸入端。XTAL2:系統(tǒng)時(shí)鐘的反向放大器輸出端,一般在設(shè)計(jì)上只要在XTAL1和XTAL2上接上一只石英振蕩晶體系統(tǒng)皆可以工作了,此外可以在兩個(gè)引腳與地之間加入一20PF的小電容,可以使系統(tǒng)更穩(wěn)定,避免噪聲干擾而死機(jī)。RESET:STC89C52的重置引腳,高電平工作,當(dāng)要對(duì)晶片重置時(shí),只要對(duì)此引腳點(diǎn)評(píng)提升至

15、高電平并保持兩個(gè)機(jī)器周期以上的時(shí)間,STC89C52便能完成系統(tǒng)重置的各項(xiàng)動(dòng)作,使得部特殊功能寄存器容均被設(shè)成已知狀態(tài),并且至地址0000H處開始讀入程序代碼而執(zhí)行程序。PORT0( P0.0P0.7 ):端口0是一個(gè)8位寬的開路電極(Open Drain)雙向輸出入端口,共有8個(gè)位,P0.0表示位0,P0.1表示位1,依此類推。其他三個(gè)I/O端口(P1、P2、P3)則不具有此電路組態(tài),而是部有一提升電路,P0在當(dāng)做I/O用時(shí)可以推動(dòng)8個(gè)LS的TTL負(fù)載。如果當(dāng)EA引腳為低電平時(shí)(即取用外部程序代碼或數(shù)據(jù)存儲(chǔ)器),P0就以多工方式提供地址總線(A0A7)與數(shù)據(jù)總線(D0D7)。設(shè)計(jì)者必須外加一

16、個(gè)鎖存器將端口0送出的地址鎖住成為A0A7,再配合端口2所送出的A8A15合成一個(gè)完整的16位地址總線,而定位地址到64K的外部存儲(chǔ)器空間。PORT1(P1.0P1.7):端口1也是具有部提升電路的雙向I/O端口,其輸出緩沖器可以推動(dòng)4個(gè)LS TTL負(fù)載,若將端口1的輸出設(shè)為高電平,使是由此端口來(lái)輸入數(shù)據(jù)。如果是使用8052或是8032的話,P1.0又當(dāng)作定時(shí)器2的外部緩沖輸入腳,而P。1可以有T2EX功能,可以做外部中斷輸入的觸發(fā)引腳。PORT2(P2.0P2.7):端口2是具有部提升電路的雙向I/O端口,每一個(gè)引腳可以推動(dòng)4個(gè)LS的TTL負(fù)載,同樣地,若將端口2的輸出設(shè)為高電平時(shí),此端口便

17、能當(dāng)成輸入端口來(lái)使用。P2除了當(dāng)做一般I/O端口使用外,若是在AT89S51擴(kuò)充外接程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),也提供地址總線的高字節(jié)A8A15,這個(gè)時(shí)候P2便不能當(dāng)做I/O來(lái)使用了。PORT3(P3.0P3.7):端口3也具有部提升電路的雙向I/O端口,其輸出緩沖器可以推動(dòng)4個(gè)TTL負(fù)載,同時(shí)還多工具有其他的額外特殊功能,包括串行通信、外部中斷控制、計(jì)時(shí)計(jì)數(shù)控制與外部數(shù)據(jù)存儲(chǔ)器容的讀取或?qū)懭肟刂频裙δ堋F湟_分配如下:P3.0:RXD,串行通信輸入。P3.1:TXD,串行通信輸出。P3.2:INT0,外部中斷0輸入。P3.3:INT1,外部中斷1輸入。P3.4:T0,計(jì)時(shí)計(jì)數(shù)器0輸入。P3.5

18、:T1,計(jì)時(shí)計(jì)數(shù)器1輸入。P3.6:WR,外部數(shù)據(jù)存儲(chǔ)器的寫入信號(hào)。P3.7:RD,外部數(shù)據(jù)存儲(chǔ)器的讀取信號(hào)。3.1.3 單片機(jī)控制模塊單片機(jī)控制模塊由STC89C52最小系統(tǒng)組成,其中包括單片機(jī),晶振電路和復(fù)位電路。(1)晶振電路晶振電路由兩個(gè)30pF電容和一個(gè)12MHz晶體振蕩器構(gòu)成,接入單片機(jī)的X1、X2引腳。如圖3-2所示。圖3-2 晶振電路(2)復(fù)位電路單片復(fù)位端低電平有效。 圖3-3 復(fù)位電路3.2 LED數(shù)碼管3.2.1 LED數(shù)碼管概述LED數(shù)碼管晶、集LED數(shù)碼管、數(shù)碼管實(shí)際上是由七個(gè)發(fā)光管組成8字形構(gòu)成的,加上小數(shù)點(diǎn)就是8個(gè)。這些段分別由字母a,b,c,d,e,f,g,dp

19、來(lái)表示。當(dāng)數(shù)碼管特定的段加上電壓后,這些特定的段就會(huì)發(fā)亮,以形成我們眼睛看到的字樣了。如:顯示一個(gè)“2”字,那么應(yīng)當(dāng)是a亮b亮g亮e亮d亮f不亮c不亮dp不亮。LED數(shù)碼管有一般亮和超亮等不同之分,也有0.5寸、1寸等不同的尺寸。小尺寸數(shù)碼管的顯示筆畫常用一個(gè)發(fā)光二極管組成,而大尺寸的數(shù)碼管由二個(gè)或多個(gè)發(fā)光二極管組成,一般情況下,單個(gè)發(fā)光二極管的管壓降為1.8V左右,電流不超過(guò)30mA。發(fā)光二極管的陽(yáng)極連接到一起連接到電源正極的稱為共陽(yáng)數(shù)碼管,發(fā)光二極管的陰極連接到一起連接到電源負(fù)極的稱為共陰數(shù)碼管。常用LED數(shù)碼管顯示的數(shù)字和字符是0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、

20、F。數(shù)碼管又分為共陰極和共陽(yáng)極兩種類型,其實(shí)共陰極就是將八個(gè)LED的陰極連在一起,讓其接地,這樣給任何一個(gè)LED的另一端高電平,它便能點(diǎn)亮。而共陽(yáng)極就是將八個(gè)LED的陽(yáng)極連在一起。其原理圖3-4如下。圖 3-4 LED原理圖3.2.2 LED數(shù)碼管顯示LED數(shù)碼管要正常顯示,就要用驅(qū)動(dòng)電路來(lái)驅(qū)動(dòng)數(shù)碼管的各個(gè)段碼,從而顯示出我們要的數(shù)位,因此根據(jù)LED數(shù)碼管的驅(qū)動(dòng)方式的不同,可以分為靜態(tài)式和動(dòng)態(tài)式兩類。(1)靜態(tài)顯示驅(qū)動(dòng):靜態(tài)驅(qū)動(dòng)也稱直流驅(qū)動(dòng)。靜態(tài)驅(qū)動(dòng)是指每個(gè)數(shù)碼管的每一個(gè)段碼都由一個(gè)單片機(jī)的I/O口進(jìn)行驅(qū)動(dòng),或者使用如BCD碼二十進(jìn)位器進(jìn)行驅(qū)動(dòng)。靜態(tài)驅(qū)動(dòng)的優(yōu)點(diǎn)是編程簡(jiǎn)單,顯示亮度高,缺點(diǎn)是占用

21、I/O埠多,如驅(qū)動(dòng)5個(gè)數(shù)碼管靜態(tài)顯示則需要58=40根I/O口來(lái)驅(qū)動(dòng),要知道一個(gè)89C52單片機(jī)可用的I/O口才32個(gè)呢。故實(shí)際應(yīng)用時(shí)必須增加驅(qū)動(dòng)器進(jìn)行驅(qū)動(dòng),增加了硬體電路的復(fù)雜性。(2)動(dòng)態(tài)顯示驅(qū)動(dòng):數(shù)碼管動(dòng)態(tài)顯示介面是單片機(jī)中應(yīng)用最為廣泛的一種顯示方式之一,動(dòng)態(tài)驅(qū)動(dòng)是將所有數(shù)碼管的8個(gè)顯示筆劃a,b,c,d,e,f,g,dp 的同名端連在一起,另外為每個(gè)數(shù)碼管的公共極COM增加位元選通控制電路,位元選通由各自獨(dú)立的I/O線控制,當(dāng)單片機(jī)輸出字形碼時(shí),所有數(shù)碼管都接收到一樣的字形碼,但究竟是那個(gè)數(shù)碼管會(huì)顯示出字形,取決于單片機(jī)對(duì)位元選通COM端電路的控制,所以我們只要將需要顯示的數(shù)碼管的選通

22、控制打開,該位元就顯示出字形,沒(méi)有選通的數(shù)碼管就不會(huì)亮。透過(guò)分時(shí)輪流控制各個(gè)LED數(shù)碼管的COM端,就使各個(gè)數(shù)碼管輪流受控顯示,這就是動(dòng)態(tài)驅(qū)動(dòng)。在輪流顯示過(guò)程中,每位元數(shù)碼管的點(diǎn)亮?xí)r間為12ms,由于人的視覺(jué)暫留現(xiàn)象與發(fā)光二極體的余輝效應(yīng),盡管實(shí)際上各位數(shù)碼管并非同時(shí)點(diǎn)亮,但只要掃描的速度足夠快,給人的印象就是一組穩(wěn)定的顯示資料,不會(huì)有閃爍感,動(dòng)態(tài)顯示的效果和靜態(tài)顯示是一樣的,能夠節(jié)省大量的I/O口,而且功耗更低。3.3 蜂鳴器電路用一個(gè)蜂鳴器,由P2.5輸出一定頻率的信號(hào),在連接到蜂鳴器之前,經(jīng)過(guò)一個(gè)三極管9012的放大。報(bào)警部分的連線,如圖3-5所示。圖3-5 蜂鳴器電路3.4 超聲波電路

23、以C52單片機(jī)為主控制單元,超聲波傳感器發(fā)出和接受聲波。通過(guò)超聲波從傳感器發(fā)射到接受的時(shí)間差按公式計(jì)算可以測(cè)量發(fā)射點(diǎn)到被測(cè)物的距離并通過(guò)蜂鳴器發(fā)生報(bào)警。第4章 軟件設(shè)計(jì)4.1 程序整體思路超聲波倒車?yán)走_(dá)系統(tǒng)的軟件設(shè)計(jì)主要由主程序、超聲波發(fā)射子程序、INT0超聲波接收中斷程序與顯示子程序四個(gè)主要模塊組成。軟件設(shè)計(jì)的總體結(jié)構(gòu)框圖如圖4-1。系統(tǒng)各功能模塊系統(tǒng)初始化程序按鍵掃描模塊數(shù)碼管顯示模塊運(yùn)算結(jié)果處理模塊發(fā)射接收控制模塊聲光報(bào)警模塊圖4-1 系統(tǒng)模塊框圖(1) 系統(tǒng)初始化模塊:即系統(tǒng)剛上電的時(shí)候?qū)ο到y(tǒng)的各個(gè)引腳的電平分配和對(duì)各寄存器的初值賦值。(2) 數(shù)碼管顯示模塊:通過(guò)該模塊的設(shè)計(jì)能夠讓所測(cè)

24、得的距離顯示在數(shù)碼管上。(3) 按鍵掃描模塊:此模塊用來(lái)通過(guò)鍵盤控制倒車?yán)走_(dá)的工作。(4) 發(fā)射接收控制模塊:發(fā)射控制模塊是軟件控制超聲波發(fā)射電路發(fā)射超聲脈沖啟動(dòng)定時(shí)器工作,同時(shí)啟動(dòng)接收電路工作,當(dāng)接收電路有信號(hào)輸入時(shí),對(duì)輸入信號(hào)進(jìn)行處理。(5) 運(yùn)算結(jié)果處理模塊:運(yùn)算結(jié)果處理模塊將多次所測(cè)得時(shí)間進(jìn)行處理,進(jìn)行軟件取大值工作,根據(jù)公式計(jì)算出距離,然后再對(duì)計(jì)算得出的結(jié)果進(jìn)行修正處理,數(shù)據(jù)處理后送至數(shù)碼顯示模塊。(6) 蜂鳴報(bào)警模塊:當(dāng)所測(cè)距離小于一定值時(shí),通過(guò)蜂鳴報(bào)警來(lái)挺行駕駛員。我們知道C語(yǔ)言程序有利于實(shí)現(xiàn)較復(fù)雜的算法,匯編語(yǔ)言程序則具有較高的效率且容易精細(xì)計(jì)算程序運(yùn)行的時(shí)間,而超聲波測(cè)距儀的

25、程序既有較復(fù)雜的計(jì)算(計(jì)算距離時(shí)),又要求精細(xì)計(jì)算程序運(yùn)行時(shí)間(超聲波測(cè)距時(shí)),所以控制程序可采用C語(yǔ)言和匯編語(yǔ)言混合編程。主程序除了完成定時(shí)器T0、中斷源TNT0初始化外,主要實(shí)現(xiàn)超聲波的巡回發(fā)射(調(diào)用超聲波發(fā)射程序)和距離的動(dòng)態(tài)掃描顯示;INT0中斷服務(wù)程序計(jì)算車尾距離障礙物的距離數(shù)據(jù),該數(shù)據(jù)一方面交由主程序顯示,另一方面與設(shè)定值(比如1m)進(jìn)行比較,如小于1m,接蜂鳴器報(bào)警,否則關(guān)閉報(bào)警;如果車尾距離障礙物的距離較遠(yuǎn),超聲波往返時(shí)間就會(huì)超過(guò)了定時(shí)器T一次性最長(zhǎng)的定時(shí)時(shí)間,則T0發(fā)生溢出而中斷,這時(shí)進(jìn)行距離計(jì)算,并顯示“OFF”,以示車后無(wú)障礙物,可放心倒車。4.2 主程序流程設(shè)計(jì)主程序的

26、流程圖如下圖所示,主要完成系統(tǒng)的初始化,控制I/O端口連續(xù)產(chǎn)生40KHz的脈沖信號(hào),控制定時(shí)器的啟動(dòng)和停止,檢測(cè)超聲波回波信號(hào)并計(jì)算,對(duì)顯示、報(bào)警子程序進(jìn)行調(diào)用。初始化初始化開始開始測(cè)距發(fā)送數(shù)據(jù)顯示接收數(shù)據(jù)發(fā)送端接收端圖4-2 程序流程4.2 超聲波測(cè)距的算法設(shè)計(jì)超聲波測(cè)距的原理:即為超聲波發(fā)生器T在某一時(shí)刻發(fā)出一個(gè)超聲波信號(hào),當(dāng)這個(gè)超聲波遇到被測(cè)物體后反射回來(lái),就被超聲波接收器R所接收到。這樣只要計(jì)算出從發(fā)出超聲波信號(hào)到接收到返回信號(hào)所用的時(shí)間,就可算出超聲波發(fā)生器與反射物體的距離。距離的計(jì)算公式為:其中,d為被測(cè)物與測(cè)距儀的距離,s為聲波的來(lái)回的路程,c為聲速,t為聲波來(lái)回所用的時(shí)間。超聲

27、波的指向性強(qiáng),能量消耗緩慢,遇到障礙物后反射效率高,是測(cè)距的良好載體。測(cè)距時(shí)有安裝在同一位置的超聲波發(fā)射器和接收器完成超聲波的發(fā)射與接收,有定時(shí)器計(jì)時(shí)。首先由發(fā)射器向特定方向發(fā)射超聲波并同時(shí)啟動(dòng)定時(shí)器計(jì)時(shí),超聲波咋介質(zhì)傳播途中一旦遇到障礙物后就被反射回來(lái),當(dāng)接收器收到發(fā)射波立即停止計(jì)時(shí)。這樣,定時(shí)器就記錄下了超聲波自發(fā)射點(diǎn)至障礙物之間往返傳播經(jīng)歷的時(shí)間t 。由于常溫下超聲波在空氣中的傳播數(shù)的約為340m/s,所以由公式4-1知發(fā)射點(diǎn)距離障礙物之間的距離為:S=340t/2=170t在啟動(dòng)發(fā)射電路的同時(shí)啟動(dòng)單片機(jī)部的定時(shí)器T0,利用定時(shí)器的計(jì)數(shù)功能記錄超聲波發(fā)射的時(shí)間和收到反射波的時(shí)間。當(dāng)收到超

28、聲波反射波時(shí),接收電路輸出端產(chǎn)生一個(gè)負(fù)跳變,在INT0或INT1端產(chǎn)生一個(gè)中斷請(qǐng)求信號(hào),單片機(jī)響應(yīng)外部中斷請(qǐng)求,執(zhí)行外部中斷服務(wù)子程序,讀取時(shí)間差,計(jì)算距離。在使用時(shí),如果溫度變化不大,則可以認(rèn)為聲速是基本不變的。如果測(cè)距精度要求很高,則應(yīng)通過(guò)溫度補(bǔ)償?shù)姆椒右孕U?。其部分源程序如下?RECEIVE0:PUSH PSW PUSH ACC CLR EX0 /關(guān)外部中斷0 MOV R7, TH0 /讀取時(shí)間值 MOV R6, TL0 CLR C MOV A, R6 SUBB A, #0BBH /計(jì)算時(shí)間差 MOV 31H, A /存儲(chǔ)結(jié)果 MOV A, R7 SUBB A, #3CH MOV 3

29、0H, A SETB EX0 /開外部中斷0 POP ACC POP PSW RETI 4.4超聲波發(fā)送與接收中斷程序超聲波發(fā)生子程序的作用是通過(guò)P1.0端口發(fā)送2個(gè)左右超聲波脈沖信號(hào)(頻率約40kHz的方波),脈沖寬度為12s左右,同時(shí)把計(jì)數(shù)器T0打開進(jìn)行計(jì)時(shí)。主程序利用為中斷0檢測(cè)返回超聲波信號(hào),一旦接收到返回超聲波信號(hào)(INT0引腳出現(xiàn)低電平),立即進(jìn)入中斷程序。進(jìn)入中斷程序后就立即關(guān)閉計(jì)時(shí)器T0停止計(jì)時(shí),并將測(cè)距成功標(biāo)志字賦值1即Testok=1。如果當(dāng)計(jì)時(shí)器溢出是還未檢測(cè)到超聲波返回信號(hào),則定時(shí)器T0溢出中斷將外中斷0關(guān)閉,并將測(cè)距成功標(biāo)志字賦值2,與Testok=2以表示此次測(cè)距失

30、敗。超聲波發(fā)射程序比較簡(jiǎn)單,主要包括T0中斷服務(wù)程序和超聲波接收中斷服務(wù)程序。超聲波發(fā)生子程序雖簡(jiǎn)單,但要求程序運(yùn)行準(zhǔn)確,需要采用匯編語(yǔ)言編程。1)40kHz 脈沖的產(chǎn)生與超聲波發(fā)射。測(cè)距系統(tǒng)中的超聲波傳感器采用UCM40的壓電瓷傳感器,它的工作電壓是40kHz的脈沖信號(hào),這由單片機(jī)執(zhí)行下面程序來(lái)產(chǎn)生。puzel: mov 14h, #12h /超聲波發(fā)射持續(xù)200ms here: cpl p1.0 /輸出40kHz方波 nop ; nop ; nop ; djnz 14h,here; ret 前方測(cè)距電路的輸入端接單片機(jī)P1.0端口,單片機(jī)執(zhí)行上面的程序后,在P1.0 端口輸出一個(gè)40kHz

31、的脈沖信號(hào),經(jīng)過(guò)三極管T放大,驅(qū)動(dòng)超聲波發(fā)射頭UCM40T,發(fā)出40kHz的脈沖超聲波,且持續(xù)發(fā)射200ms。右側(cè)和左側(cè)測(cè)距電路的輸入端分別接P1.1和P1.2端口,工作原理與前方測(cè)距電路一樣。超聲波測(cè)距儀主程序利用外中斷0檢測(cè)返回超聲波信號(hào),一旦接收到返回超聲波信號(hào)(即INT0引腳出現(xiàn)低電平),立即進(jìn)入中斷程序。進(jìn)入中斷后就立即關(guān)閉計(jì)時(shí)器T0停止計(jì)時(shí),并將測(cè)距成功標(biāo)志字賦值1。前方測(cè)距電路的輸出端接單片機(jī)INT0端口,中斷優(yōu)先級(jí)最高,左、右測(cè)距電路的輸出通過(guò)與門IC3A的輸出接單片機(jī)INT1端口,同時(shí)單片機(jī)P1.3和P1.4接到IC3A的輸入端,中斷源的識(shí)別由程序查詢來(lái)處理,中斷優(yōu)先級(jí)為先右

32、后左。部分源程序如下: receive1:push psw push acc clr ex1 /關(guān)外部中斷1 jnb p1.1, right /P1.1引腳為0,轉(zhuǎn)至右測(cè)距電路中斷服務(wù)程序jnb p1.2, left /P1.2引腳為0,轉(zhuǎn)至左測(cè)距電路中斷服務(wù)程序 return:SETB EX1 /開外部中斷1 pop acc pop psw reti right: . /右測(cè)距電路中斷服務(wù)程序入口 ajmp return left:. /左測(cè)距電路中斷服務(wù)程序入口 ajmp return 4.5 顯示子程序和蜂鳴報(bào)警子程序外部中斷入口初始化開位選查表送段碼位選左移一位修正段碼指針?lè)祷仫@示完畢

33、NY報(bào)警子程序取測(cè)量值LED顯示Y距離小于1m返回蜂鳴報(bào)警N圖4-3 顯示子程序框圖 圖4-4 報(bào)警子程序框圖4.6 系統(tǒng)的軟硬件調(diào)試超聲波測(cè)距儀的制作和調(diào)試都比較簡(jiǎn)單,其中超聲波發(fā)射和接收采用15的超聲波換能器TCT40-10F1(T發(fā)射)和TCT40-10S1(R接收),中心頻率為40kHz,安裝時(shí)應(yīng)保持兩換能器中心軸線平行并相距48cm,其余元件無(wú)特殊要求。若能將超聲波接收電路用金屬殼屏蔽起來(lái),則可提高抗干擾能力。根據(jù)測(cè)量圍要求不同,可適當(dāng)調(diào)整與接收換能器并接的濾波電容C0的大小,以獲得合適的接收靈敏度和抗干擾能力。硬件電路制作完成并調(diào)試好后,便可將程序編譯好下載到單片機(jī)試運(yùn)行。根據(jù)實(shí)際情況可以修改超聲波發(fā)生子程序每

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論