版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、A1st level packaging 第一級封裝2nd level packaging 第二級封裝aberration 象差/色差absorption 吸收acceleration column 加速管acceptor 受主Accumulate v. 積聚, 堆積acid 酸acoustic streaming 聲學流active region 有源區(qū)activate 激活activated dopant 激活雜質active component 有源器件adsorption 吸附aerosol 懸浮顆粒air ionizer 空氣電離化器alignment mark 對準標記alignm
2、ent 對準alloy 合金1 / 69alternate adj. 交替的, 輪流的, 預備的 v. 交替, 輪流, 改變aluminum 鋁aluminum subtractive process 鋁刻蝕工藝ambient 環(huán)境ammonia(NH3) 氨氣ammonium fluoride(NH4F) 氟化氨ammonium hydroxide(NH4OH) 氫氧化氨amorphous 非晶的,無定型analog 模擬信號angstrom 埃anion 陰離子anisotropic etch profile 各向異性刻蝕剖面anneal 退火antimony(sb) 銻 antirele
3、ctive coating(ARC) 抗反射涂層APCVD 常壓化學氣向淀積application specific IC(ASIC) 專用集成電路aqueous solution 水溶液area array 面陣列argon(Ar) n. 化氬arsenic(As) 砷arsine(AsH3) 砷化氫,砷烷ashing 灰化,去膠 aspect ratio 深寬比,高寬比aspect ratio dependent etching(ARDE) 與刻蝕相關的深寬比asphyxiant 窒息劑assay number 檢定數(shù)atmospheric adj. 大氣的atmospheric pres
4、sure 大氣壓atmospheric pressure CVD(APCVD) 常壓化學氣向淀積atomic force microscopy(AFM) 原子力顯微鏡atomic number 原子序數(shù)attempt n. 努力, 嘗試, 企圖 vt. 嘗試, 企圖auger electron spectroscopy(AES) 俄歇電子能譜儀autodoping 自摻雜automatic defect classification(ADC) 缺陷自動分類Bback-end of line(BEOL) (生產線)后端工序backgrind 減薄backing film 背膜baffle vt.
5、 困惑, 阻礙, 為難(擋片)baffle assembly n. 集合, 裝配, 集會, 集結, 匯編 (擋片塊)ball grid array(BGA) 球柵陣列ballroom layout 舞廳式布局,超凈間的布局barrel reactor 圓桶型反應室barrier metal 阻擋層金屬barrier voltage 勢壘電壓base 基極,基區(qū)batch 批bay and chase layout 生產區(qū)和技術夾層區(qū)beam blow-up 離子束膨脹beam current 束流beam deceleration 束流減速beam energy 離子束能量beol (生產線)
6、后端工序best focus 最佳聚焦BGA 球柵陣列Biasing 電壓拉偏BICMOS 雙極CMOSbincode number 分類代碼號bin map 分類圖bipolar junction transistor(BJT) 雙極晶體管bipolar technology 雙極技術(工藝)birds beak effect 鳥嘴效應blanket deposition 均厚淀積blower 增壓泵 boat 舟BOE 氧化層刻蝕緩沖劑Bon voyage 法再見, 一路順風平安bonding pads 壓點bonding wire 焊線,引線boron(B) 硼boron trichlo
7、ride(BCL3) 三氯化硼boron trifluoride(BF3) 三氟化硼borophosphosilicate glass(BPSG) 硼磷硅玻璃borosilicate glass(BSG) 硼硅玻璃bottom antireflective coating(BARC) 下減反射涂層boule 單晶錠bracket n. 墻上凸出的托架, 括弧, 支架 v. 括在一起breakthrough step 突破步驟,起始的干法刻蝕步驟brightfield detection 亮場檢查brush scrubbing 涮洗bubbler 帶鼓泡槽buffered oxide etch(
8、BOE) 氧化層腐蝕緩沖液bulk chemical distribution 批量化學材料配送bulk gases 大批氣體bulkhead equipment layout 穿壁式設備布局bumped chip 凸點式芯片buried layer 埋層burn-box 燃燒室(或盒)burn-in 老化CCA 化學放大(膠)cantilever n. 建懸臂cantilever paddle 懸臂槳cap oxide 掩蔽氧化層capacitance 電容capacitance-voltage test(C-Vtest) 電容-電壓測試capacitive coupled plasma 電
9、容偶合等離子體capacitor 電容器 carbon tetrafluoride(CF4) 四氟化碳caros acid 3號液carrier 載流子carrier-depletion region 載流子耗盡層carrier gas 攜帶氣體cassette (承)片架cation 陽離子caustic 腐蝕性的cavitation 超聲波能 CD 關鍵尺寸CD-SEM 線寬掃描電鏡Celsius adj. 攝氏的center of focus(COF) 焦點 焦平面center slow 中心慢速central processing unit(CPU) 中央處理器ceramic subs
10、trate 陶瓷封裝CERDIP 陶瓷雙列直插封裝Channel 溝道channel length 溝道長度channeling 溝道效應charge carrier 載流子chase 技術夾層chelating agent 螯合劑chemical amplification(CA) 化學放大膠chemical etch mechanism 化學刻蝕機理chemical mechanical planarization(CMP) 化學機械平坦化chemical solution 化學溶液chemical vapor deposition(CVD) 化學氣相淀積chip 芯片chip on bo
11、ard(COB) 板上芯片chip scale package(CSP) 芯片尺寸封裝circuit geometries 電路幾何尺寸class number 凈化級別cleanroom 凈化間cleanroom protocol 凈化間操作規(guī)程Clearfield mask 亮場掩膜板Cluster tool 多腔集成設備CMOS 互補金屬氧化物半導體CMP 化學機械平坦化Coater/developer track 涂膠/顯影軌道Cobalt silicide 鈷硅化合物coefficient n. 數(shù)系數(shù)Coefficient of thermal expansion(CTE) 熱漲系
12、數(shù)Coherence probe microscope 相干探測顯微鏡Coherent light 相干光coil v. 盤繞, 卷Cold wall 冷壁Collector 集電極 Collimated light 平行光Collimated sputtering 準直濺射Compensate v. 償還, 補償, 付報酬Compound semiconductor 化合物半導體Concentration 濃度Condensation 濃縮Conductor 導體constantly adv. 不變地, 經常地, 堅持不懈地Confocal microscope 共聚焦顯微鏡Conforma
13、l step coverage 共型臺階覆蓋Contact 接觸(孔)Contact alignment 接觸式對準(光刻)Contact angle meter 接觸角度儀Contamination 沾污、污染conti boat 連柱舟conticaster 冶連鑄機Continuous spray develop 連續(xù)噴霧顯影Contour maps 包絡圖、等位圖、等值圖Contrast 對比度、反差contribution n. 捐獻, 貢獻, 投稿Conventional-line photoresist 常規(guī)I線光刻膠Cooks theory 庫克理論Copper CVD 銅CV
14、DCopper interconnect 銅互連Cost of ownership(COO) 業(yè)主總成本Covalent bond 共價鍵Critical dimension 關鍵尺寸Cryogenic aerosol cleaning 冷凝浮質清洗Cryogenic pump(cryopump) 冷凝泵Crystal 晶體Crystal activation 晶體激活Crystal defect 晶體缺陷Crystal growth 晶體生長Crystal lattice 晶格Crystal orientation 晶向CTE 熱漲系數(shù)Current-driven current ampli
15、fier 電流驅動電流放大器CVD 化學氣相淀積Cycle time 周期CZ crystal puller CZ拉單晶設備Czochralski(CZ) method 切克勞斯基法Ddamascene 大馬士革工藝darkfiled detection 暗場檢測darkfiled mask 暗場掩膜版DC bias 直流偏壓decompose v. 分解, (使)腐爛deep UV(DUV) 深紫外光default n. 默認(值), 缺省(值), 食言, 不履行責任, 律缺席 v. 疏怠職責, 缺席, 拖欠, 默認defects density 缺陷密度defect 缺陷 deglaze
16、漂氧化層degree of planarity(DP) 平整度dehydration bake 去濕烘培,脫水烘培density 密度deplention mode 耗盡型degree of focus 焦深deposit n. 堆積物, 沉淀物, 存款, 押金, 保證金, 存放物 vt. 存放, 堆積 vi. 沉淀deposition 淀積deposited oxide layer 淀積氧化層depth of focus 焦深descum 掃底膜design for test(DFT) 可測試設計desorption 解吸附作用develop inspect 顯影檢查development
17、顯影developer 顯影液deviation n. 背離device isolation 器件隔離device technology 器件工藝DI water 去離子水Diameter n. 直徑diameter grinding 磨邊diborane (B2H6)乙硼烷 dichlorosilane(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片陣列 die attach 粘片die-by-die alignment 逐個芯片對準dielectric 介質dielectric constant 介電常數(shù)die matrix 芯片陣列die separation 分片di
18、ffraction 衍射diffraction-limited optics 限制衍射鏡片diffusion 擴散diffusion controlled 受控擴散digital/analog 數(shù)字/模擬digital circuitdiluentdirect chip attach( DCA)directionalitydiscretedishingdislocationdissolution rate dissolution rate monitor(DRM) 溶解率監(jiān)測DNQ-novolak 重氮柰醌酚醛樹脂Donor 施主dopant profile 摻雜刨面)doped region
19、 摻雜區(qū)doping 摻雜dose monitor 劑量檢測儀dose,Q 劑量downstream reactor 順流法反應drain 漏drive-in 推進dry etch 干法刻蝕dry mechanical pump 干式機械泵dry oxidation 干法氧化dummy n. 啞巴, 傀儡, 假人, 假貨 adj. 虛擬的, 假的, 虛構的 n. 計 啞元dynamic adj. 動力的, 動力學的, 動態(tài)的Eeconomies of scale 規(guī)模經濟edge bead removal 邊緣去膠edge die 邊緣芯片edge exclusion 無效邊緣區(qū)域electr
20、ically erasable PROM電可擦除EPROMelectrode 電極electromigration 電遷徙electron beam lithography 電子束光刻electron cyclotron resonance 電子共振回旋加速器electron shower 電子簇射,電子噴淋electron stopping 電子阻止electronic wafer map 硅片上電性能分布圖electroplating 電鍍electropolishing 電解拋光electrostatic chuck 靜電吸盤electrostatic discharge(ESD) 靜電
21、放電ellipsometry 橢圓偏振儀,橢偏儀emitter 發(fā)射極endpoint detection 終點檢測engineering n. 工程(學)electrostatic discharge(EDX) 能量彌散譜儀enhancement mode 增強型epi 外延epitaxial layer 外延層epoxy underfill 環(huán)氧樹脂填充不足erasable PROM 可擦除可編程只讀存儲器erosion 腐蝕,浸蝕establish vt. 建立, 設立, 安置, 使定居, 使人民接受, 確定 v. 建立etch 刻蝕etch bias 刻蝕漲縮量etch profile
22、 刻蝕刨面etch rate 刻蝕速率etch residue 刻蝕殘渣etch uniformity 刻蝕均勻性etchant 刻蝕劑etchback planarization 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶溫度evaporation 蒸發(fā)even adj. 平的, 平滑的, 偶數(shù)的, 一致的, 平靜的, 恰好的, 平均的, 連貫的 adv. 加強語氣甚至(.也), 連.都, 即使, 恰好, 正當 vt. 使平坦, 使相等 vi. 變平, 相等 n. 偶數(shù), 偶校驗exceed vt. 超越, 勝過 vi. 超過其他exci
23、mer laser 準分之激光exposal n. 曝光, 顯露exposure 曝光exposure dose 曝光量extraction electrode 吸極extreme UV 極紫外線extrinsic silicon 摻雜硅FFables 無制造廠公司fabrication 制造facilities 設施factor n. 因素, 要素, 因數(shù), 代理人fast ramp furnaces 快速升降溫爐fault model 失效模式FCC diamond 面心立方金剛石feature size 特征尺寸FEOL 前工序Ficks laws FICK定律field-effect
24、transistor 場效應晶體管field oxide 場氧化field-by-field alignment 逐場對準field-programmable PROM 現(xiàn)場可編程只讀存儲器film 膜film stress 膜應力final assembly and packaging 最終裝配和封裝final test 終測first interlayer dielectric(ILD-1)第一層層間介質fixed oxide charge 固定氧化物電荷flats 定位邊f(xié)lip chip 倒裝芯片float zone 區(qū)熔法fluorosilicate glass(FSG) 氟化玻璃f
25、ocal length 焦距focal plane 焦平面focal point 焦點focus 聚焦focus ion beam(FIB) 聚焦離子束 footprint 占地面積formula n. 公式, 規(guī)則, 客套語forward bias 正偏壓four-point probe 四探針frenkel defect Frenkel缺陷 front-opening unified pod(FOUP) 前開口盒functional test 功能測試furnace flat zone 恒溫區(qū)Gg-line G線gallium(Ga) 鎵gallium arsenide(GaAs) 砷化鎵
26、gap fill 間隙填充gas 氣體gas cabinet 氣柜gas manifold 氣瓶集裝gas phase nucleation 氣相成核gas purge 氣體沖洗gas throughput 氣體產量gate 柵gate oxide 柵氧化硅gate oxide integrity 柵氧完整性germanium(Ge) 鍺getter 俘獲glass 玻璃glazing 光滑表面global alignment 全局對準global planarization 全局平坦化glow discharge 起輝放電gray area 灰區(qū),技術夾層gross defect 層錯gro
27、ve n. 小樹林grown oxide layer 熱氧化生長氧化層H Halogen 鹵素hardbake 堅膜hardware n. 五金器具, (電腦的)硬件, (電子儀器的)部件HEPA filter 高效過濾器hermetic sealing 密封heteroepitaxy 異質外延heterogeneous reaction 異質反應hexamethyldisilazane(HMDS)六甲基二硅氨烷high-density plasma(HDPCVD) 高密度等離子體化學氣相淀積high-density plasma etch 高密度等離子刻蝕high-pressure oxid
28、ation 高壓氧化high-temperature diffusion furnace 高溫擴散爐high vacuum 高真空high vacuum pumps 高真空泵hillock 小丘(鋁)尖刺homoepitaxy 同質外延homogeneous reaction 同質反應horizontal adj. 地平線的, 水平的horizontal furnace 臥式爐hot electron 熱電子hot wall 熱壁hydrochloric acid(HCL) 鹽酸hydrofluoric acid(HF) 氫氟酸hydrogen(H2) 氫氣hydrogen chloride(
29、HCL) 氯化氫hydrogen peroxide(H2O2) 雙氧水hydeophilic 親水性hydrophobic憎水性,疏水性hyperfiltration 超過濾Ii-line I線IC packaging 集成電路封裝IC reliability 集成電路可靠性Iddq testing 靜態(tài)漏電流測試image resolution 圖象清晰度 圖象分解力implant v. 灌輸(注入)impurity 雜質increment n. 增加, 增量initial adj. 最初的, 詞首的, 初始的 n. 詞首大寫字母in situ measurements 在線測量index
30、of refraction 折射率indium 銦inductively coupled plasma(ICP) 電感耦合等離子體inert gas 惰性氣體infrared interference 紅外干涉ingot 錠ink mark 墨水標識in-line parametric test 在線參數(shù)測試input/output(I/O)pin 輸入/輸出管腳institute n. 學會, 學院, 協(xié)會 vt. 創(chuàng)立, 開始, 制定, 開始(調查), 提起(訴訟)insulator 絕緣體integrate vt. 使成整體, 使一體化, 求.的積分 v.結合integrated cir
31、cuit(IC)集成電路integrated measurement tool 集成電路測量儀interval n. 間隔, 距離, 幕間休息 n. 時間間隔interconnect 互連interconnect delay 互連連線延遲interface-trapped charge 界面陷阱電荷interferometer 干涉儀interlayer dielectric(ILD) 層間介質interstitial 間隙(原子)intrinsic silicon 本征硅invoke v. 調用ion 離子ion analyzer 離子分析儀ion beam milling or ion b
32、eam etching(IBE) 離子銑或離子束刻蝕ion implantation 離子注入ion implantation damage 離子注入損傷ion implantation doping 離子注入摻雜ion implanter 離子注入機ion projection lithography(IPL) 離子投影機ionization 離子化ionized metal plasma PVD 離子化金屬等離子PVDIPA vapor dry 異丙醇氣相干燥 isolation regions 隔離區(qū)isotropic etch profile 各向同性刻蝕刨面JJEFT 結型場效應管j
33、unction(pn) PN結junction depth 結深junction spiking 結尖刺 KKelvin 絕對溫度killer defect 致命缺陷kinetically controlled reaction 功能控制效應Llaminar air flow 層狀空氣流,層流式lapping 拋光latchup 閂鎖效應lateral diffusion 橫向擴散law of reflection 反射定律LDD 輕摻雜漏Leadframe 引線框架leakage cuttent 漏電流len 透鏡lens compaction 透鏡收縮light 光light intens
34、ity 光強light scattering 光散射lightly doped drain(LDD) 輕摻雜漏linear 線性linear accelerator 線性加速器linear stage 線寬階段,線性區(qū)linewidth 線寬liquid 液體lithography 光刻loaded brush 沾污的毛刷loaded effect 負載效應loadlock 真空鎖local interconnect(LI) 局部互連local planarization 局部平坦化local oxidation of silicon(LOCOS) 硅局部氧化隔離法logic 邏輯lot 批l
35、ow-pressure chemical vapor deposition (LPCVD) 低壓化學氣相淀積LSI 大規(guī)模集成電路Mmagnetic CZ(MCZ)磁性切克勞斯基晶體生長法magnetically enhanced RIE(MERIE) 磁增強反應離子刻蝕magnetron sputtering 磁控濺射Magnification n. 擴大, 放大倍率magnificent adj. 華麗的, 高尚的, 宏偉的majority carrier 多子make-up loop 補償循環(huán)mask 掩膜版 n. 面具, 掩飾, 石膏面像 vt. 戴面具, 掩飾, 使模糊 vi. 化裝
36、, 戴面具, 掩飾, 參加化裝舞會mask-programmable gate array 掩膜可編程門陣列mass flow controller(MFC) 質量流量計mass spectrometer 質譜儀mass-transport limited reaction 質量傳輸限制效應mathematical adj. 數(shù)學的, 精確的mean free path(MFP) 平均自由程medium vacuum 中真空megasonic cleaning 超聲清洗melt 熔融membrane contactor 薄膜接觸器,隔膜接觸器membrane filter 薄膜過濾器,隔膜過濾
37、器merchant n. 商人, 批發(fā)商, 貿易商, 店主 adj. 商業(yè)的, 商人的mercury arc lamp 汞燈MESFET 用在砷化鎵結型場效應晶體管中的金屬柵metal contact 金屬接觸孔metal impurities 金屬雜質metal stack 復合金屬,金屬堆疊metallization 金屬化metalorganic CVD 金屬有機化學氣相淀積metrology 度量衡學microchip 微芯片microdefect 微缺陷microlithography 微光刻microloading 微負載,與刻蝕相關的深寬比micron 微米microproces
38、sor n. 計微處理器microprocessor unit 微處理器microroughness 微粗糙度Miller indices 密勒指數(shù)minienvironment 微環(huán)境minimum geometry 最小尺寸minority carrier 少子mix and match 混合與匹配mobile ionic contaminants(MIC)可動離子沾污 mobile oxide charge 可動氧化層電荷module n. 模數(shù), 模塊, 登月艙, 指令艙modify vt. 更改, 修改 v. 修改molecular beam epitaxy (MBE) 分子束外延m
39、olecular flow 分子流monitor wafer(test wafer) 陪片,測試片,樣片monocrystal 單晶monolithic device 單片器件Moore's law 摩爾定律MOS 金屬氧化物半導體MOSFET 金屬氧化物半導體場效應管motor curreant endpoint 電機電流終點檢測(法)MSI 中規(guī)模集成電路Multiplier n. 增加者, 繁殖者, 乘數(shù), 增效器, 乘法器multichip module(MCM) 多芯片模式multilenel metallization 多重金屬化Murphy's model 墨菲模
40、型Nnanometer(nm) 納米native oxide 自然氧化層n-channel MOSFET n溝道MOSFETnegatine resist 負性光刻膠negative n. 否定, 負數(shù), 底片 adj. 否定的, 消極的, 負的, 陰性的 vt. 否定, 拒絕(接受)negatine resist development 負性光刻膠顯影neutral beam trap 中性束陷阱next-generation lithography 下一代光刻技術nitric acid(HNO3) 硝酸nitrogen(N2) 氮氣nitrogen trifluoride(NF3) 三氟化
41、氮nitrous oxide (N2O) 一氧化二氮、笑氣nMOS n溝道MOS場效應晶體管noncritical layer 非關鍵層nonvolatile memory 非揮發(fā)性存儲器normality 歸一化notch 定位槽novolak 苯酚甲醛聚樹脂材料npn npn型(三極管)n-type silicon n型硅nuclear stopping 離子終止nucleation 成核現(xiàn)象,晶核形成nuclei coalescence 核合并numerical aperture(NA) 數(shù)值孔徑n-well n阱Oobjective (顯微鏡的)物鏡off-axis illuminat
42、ion(OAI) 偏軸式曝光,離軸式曝光ohmic contact 歐姆接觸op amp 運算放大器optical interferometry endpoint 光學干涉法終點檢測optical lithography 光學光刻optical microscope(light microscope) 光學顯微鏡optical proximity correction(OPC) 光學臨近修正optical pyrometer 光學高溫計optics 光學organic compound 有機化合物out-diffusion 反擴散outgassing 除氣作用overdrive 過壓力over
43、etch step 過刻蝕overflow rinser 溢流清洗overlay accuracy 套準精度overlay budget 套準偏差overlay registration 套刻對準oxidation 氧化oxidation-induced stacking faults(OISF) 氧化誘生層積缺陷,氧化誘生堆垛層錯oxide 氧化物、氧化層、氧化膜oxidezer 氧化劑oxide-trapped charge 氧化層陷阱電荷ozone(O3) 臭氧Ppackage 封裝管殼pad conditioning 墊修整pad oxide 墊氧化膜paddle 懸臂 n. 短槳,
44、劃槳, 明輪翼 vi. 劃槳, 戲水, 涉水 vt. 用槳劃, 攪, 拌parabolic stage 拋物線階段parallel-plate(planar)reactor 平板反應parallel testing 并行測試parameter 參數(shù)parametric test 參數(shù)測試parasitic 寄生parasitic capacitance 寄生電容parasitic resistance 寄生電阻parasitic transistor 寄生電阻器partial pressure 分壓particle density 顆粒密度particle per wafer per pass
45、(PWP) 每步每片上的顆粒數(shù)passivation 鈍化passivation layer 鈍化層passive components 無源元件pattern sensitivity 圖形靈敏性patterned etching 圖形刻蝕pattern wafer 帶圖形硅片patterning 圖形轉移,圖形成型,刻印pc board 印刷電路版p-channel MOSFET p溝道MOSFETPCM 工藝控制監(jiān)測PEB 曝光后烘焙PECVD 等離子體增強化學氣相淀積PEL 允許曝露極限值pellicle 貼膜pentavalent 五價元素perform vt. 履行, 執(zhí)行, 表演,
46、 演出 v. 完成任務performing adj. 表演的, 履行的perimete array 周邊陣列式(封裝)pH scale pH值phase-shift mask(PSM) 相移掩膜技術phosphine(PH3) 磷化氫phosphoric acid(H3PO4) 磷酸phosphorus(P) 磷phosphorus oxychloride(POCL3) 三氯氧磷phosphosilicate glass(PSG) 磷硅玻璃photoacid generator(PAG) 光酸產生劑photoacoustics 光聲的photoactive compound(PAC) 感光化合
47、物photography n. 攝影, 攝影術 光刻photolithography 光刻(技術)photomask 光掩膜photoresist 光刻膠photoresist stripping 去膠、光刻膠去除physical etch mechanism 物理刻蝕機理physical vapor deposition(PVD) 物理氣相淀積pigtail 引出頭pin grid array(PGA) 針柵陣列式(封裝)pinhole 針孔piranha 3號液pitch 間距planar 平面planar capacitor 平面電容planar process 平面工藝planariz
48、ation 平坦化plasma 等離子體 n. 解血漿, 乳漿,物等離子體,等離子區(qū)plasma-based dry cleaning 等離子體干法清洗plasma electron flood 等離子電子流plasma enhanced CVD(PECVD) 等離子體增強CVDplasma etch 等離子體刻蝕plasma-induced damage 等離子體誘導損傷plasma potential distribution 等離子體勢分布plastic dual in-line package(DIP) 雙列直插塑料封裝plastic leaded chip carrier(PLCC)
49、 塑料電極芯片載體plastic packaging 塑料封裝plug 塞,填充vt. 堵, 塞, 插上, 插栓 n 塞子, 插頭, 插銷pMOS(p-channel) p溝道MOSpn junction diode pn 結型二極管pnp pnp 型三極管point defect 點缺陷Poisson's model 泊松模型polarization 極化,偏振polarized light 極化光,偏振光polish 拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishing loop 磨拋循環(huán)polishing pa
50、d 拋光(襯)墊polycide 多晶硅化物polycrystal 多晶polymer n. 聚合體polymer formation 聚合物方程式polymerization 聚合作用polysilicon 多晶硅polysilicon gate 多晶硅柵portion n. 一部分, 一分positive lithography 正性光刻positive resist 正性光刻膠positive resist development 正性光刻膠顯影post-develop inspection 顯影后檢查post-exposure bake(PEB) 曝光后烘焙ppb 十億分之幾ppm 百
51、萬分之幾ppt 萬億分之幾preamorphization 預非晶化precursor 先驅物predeposition 預淀積premetal dielectric(PMD) 金屬前介質preston equation Preston方程primary orientation flat 主定位邊print bias光刻漲縮量printed circuit boade(PCB) 印刷電路板probe 探針probe card 探針卡prober 探針臺process 工藝process chamber 工藝腔,工藝反應室process chemical 工藝化學process control monitor(PCM) 工藝控制監(jiān)測(圖形)process latitude 工藝水平,工藝能力process recipe 工藝菜單programmable array logic(PLA) 可編程陣列邏輯programmable logic device 可編程邏輯器件programmabl
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 房屋買賣成交合同樣本格式
- 房屋買賣合同案例解析合同違約問題
- 個人房屋抵押借款合同書
- 房屋買賣合同上訴理由
- 公司短期墊資借款合同
- 食品類購銷合同樣式
- 個人借款協(xié)議書模板正式
- 政府采購空調合同的簽訂與監(jiān)管方式
- 鋼絲繩購銷協(xié)議模板
- 監(jiān)控服務合同的終止情形
- 中考數(shù)學真題變式題庫
- FZ/T 91019-1998染整機械導布輥制造工藝規(guī)范
- FZ/T 52025-2012再生有色滌綸短纖維
- SHSG0522003 石油化工裝置工藝設計包(成套技術)內容規(guī)定
- FMEA-培訓教材-汽車fmea培訓課件
- 制造部年終總結報告課件
- 粵科版高中通用技術選修1:電子控制技術全套課件
- 知識產權法(英文) Intellectual Property Right Law課件
- 熱力管道焊接技術交底記錄大全
- 接地裝置安裝試驗記錄
- 各級醫(yī)院健康體檢中心基本標準(2019年版)
評論
0/150
提交評論