基于MSPG的簡(jiǎn)易頻率計(jì)_第1頁
基于MSPG的簡(jiǎn)易頻率計(jì)_第2頁
基于MSPG的簡(jiǎn)易頻率計(jì)_第3頁
基于MSPG的簡(jiǎn)易頻率計(jì)_第4頁
基于MSPG的簡(jiǎn)易頻率計(jì)_第5頁
已閱讀5頁,還剩20頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、簡(jiǎn)易頻率計(jì)學(xué)校:天津工業(yè)大學(xué)目錄摘要31 方案論證與比較31.1 測(cè)頻基本方法和原理比較31.2 處理器的方案選擇論證31.3 滯回比較電路放大器的選擇32 系統(tǒng)設(shè)計(jì)42.1 總體設(shè)計(jì)42.2 單元電路設(shè)計(jì)42.2.1 MSP430G2553和12864液晶引腳功能說明42.2.2 滯回比較電路設(shè)計(jì)52.2.3 顯示電路設(shè)計(jì)73 軟件設(shè)計(jì)83.1 總體設(shè)計(jì)流程圖83.2 各功能子模塊介紹83.2.1 初始化模塊83.2.2 中斷模塊93.2.3 顯示模塊103.2.4 串口模塊104 系統(tǒng)測(cè)試114.1 測(cè)試430單片機(jī)自身產(chǎn)生1KHz方波的頻率114.2 測(cè)試由信號(hào)發(fā)生儀產(chǎn)生的頻率11附錄1

2、2附一:參考文獻(xiàn)12附二:元器件及儀器明細(xì)表12附三:整體電路原理圖13附四:實(shí)驗(yàn)設(shè)計(jì)程序15摘要在電子技術(shù)中,頻率是最基本的參數(shù)之一,數(shù)字頻率計(jì)具有精度高、使用方便、測(cè)量迅速、以及便于實(shí)現(xiàn)測(cè)量過程自動(dòng)化等優(yōu)點(diǎn),是近代電子技術(shù)領(lǐng)域的重要工具之一,在許多領(lǐng)域得到廣泛應(yīng)用。本系統(tǒng)以超低功耗MSP430G2553單片機(jī)為核心處理芯片來測(cè)量信號(hào)的頻率,通過定時(shí)器A采用計(jì)數(shù)法完成信號(hào)頻率測(cè)量,并將被測(cè)頻率值通過LCD12864液晶串行顯示。頻率可測(cè)量范圍在1Hz到60KHz之間。關(guān)鍵字:頻率430單片機(jī)液晶顯示串口1 方案論證與比較1.1 測(cè)頻基本方法和原理比較方案一:使用測(cè)頻法進(jìn)行頻率測(cè)量,測(cè)頻法即在

3、限定的時(shí)間內(nèi)(如1s)檢測(cè)脈沖的個(gè)數(shù)。當(dāng)被測(cè)頻率的范圍比較高時(shí),使用測(cè)頻法比較合適。方案二:使用測(cè)周法進(jìn)行頻率測(cè)量,測(cè)周法即測(cè)試限定的脈沖個(gè)數(shù)之間的時(shí)間。當(dāng)被測(cè)頻率的范圍比較低時(shí),使用測(cè)周法比較合適??紤]到較高的測(cè)試頻率,在此使用方案二,即測(cè)頻法進(jìn)行測(cè)量。1.2 處理器的方案選擇論證本設(shè)計(jì)使用TI公司的MSP430G2553低功耗單片機(jī)為主控芯片,該單片機(jī)的I/O接口較少,但內(nèi)部資源豐富,如含有10位AD轉(zhuǎn)換、16位定時(shí)器/計(jì)數(shù)器、USART接口等,處理功能強(qiáng)大,足以勝任此次設(shè)計(jì)任務(wù)。1.3 滯回比較電路放大器的選擇方案一:使用TI公司的OP37放大器,該放大器對(duì)信號(hào)轉(zhuǎn)換速率快且穩(wěn)定,適用于對(duì)

4、高頻信號(hào)的轉(zhuǎn)換,但價(jià)格較高。方案二:使用普通的LM324放大器,該放大器對(duì)信號(hào)轉(zhuǎn)換速率慢,適用于對(duì)低頻信號(hào)的轉(zhuǎn)換,價(jià)格便宜。考慮到三角波和正弦波在頻率較高時(shí)轉(zhuǎn)化為方波時(shí)對(duì)放大器的轉(zhuǎn)化速率要求較高,在此使用方案一,即使用TI公司的OP37放大器作為滯回比較電路的核心轉(zhuǎn)換芯片。2系統(tǒng)設(shè)計(jì)2.1 總體設(shè)計(jì)系統(tǒng)硬件設(shè)計(jì)方案如圖2.1-1所示:串口鞭圖2.1-1硬件設(shè)計(jì)方框圖電源系統(tǒng)由LM7805和200V轉(zhuǎn)±18V中心變壓器組成,實(shí)現(xiàn)對(duì)MSP430G2553核心處理芯片、LCD12864液晶顯示提供所需電源。顯示部分由12864液晶對(duì)頻率值進(jìn)行實(shí)時(shí)顯示。軟件設(shè)計(jì)部分包括單片機(jī)的I/O中斷和定

5、時(shí)中斷,以及液晶的驅(qū)動(dòng)和顯示。該設(shè)計(jì)由硬件和軟件共同實(shí)現(xiàn)了頻率計(jì)的功能,整體設(shè)計(jì)過程可概括為:被測(cè)信號(hào)通過滯回比較電路整形為適合單片機(jī)接收的脈沖信號(hào)(方波)輸入單片機(jī),單片機(jī)通過I/O中斷和定時(shí)器共同獲得被測(cè)信號(hào)的頻率并通過液晶對(duì)頻率進(jìn)行實(shí)時(shí)顯示。2.2 單元電路設(shè)計(jì)2.2.1 MSP430G2553和12864液晶引腳功能說明2.2.1.1 MSP430G2553引腳功能說明本次設(shè)計(jì)需要用到430單片機(jī)的1腳電源、16腳復(fù)位端、20腳接地端、配置P1.0口為待測(cè)信號(hào)輸入端,P2.0為L(zhǎng)CD片選信號(hào)端,P2.1為L(zhǎng)CD串行數(shù)據(jù)輸入輸出端,P2.2為L(zhǎng)CD串行時(shí)鐘輸入輸出端,P2.3為L(zhǎng)CD串并

6、模式選擇端,如表2.2.1.1-1所示。表2.2.1.1-1MSP430G2553引腳及功能說明引腳序號(hào)引腳名稱功能說明1VCC電源正2P1.0頻率信號(hào)輸入端3P1.11KHz方波產(chǎn)生引腳5P2.0LCD片選彳百號(hào)端6P2.1LCD串行數(shù)據(jù)輸入輸出端7P2.2LCD串行時(shí)鐘輸入輸出端8P2.3LCD串并模式選擇端16RST復(fù)位腳20GND電源地2.2.1.2LCD12864引腳功能說明LCD12864液晶顯示屏用到1、2腳,電源接口線,19、20腳背光電源接口線,15腳并行/串行接口選擇,5腳串行數(shù)據(jù)口,6腳串行的同步時(shí)鐘。LCD12864引腳功能如表2.2.1.2-2所示。表2.2.1.2-

7、2LCD12864引腳功能說明引腳序號(hào)引腳名稱功能說明1VSS模塊的電源地2VDD模塊的電源正端4RS(CS)并行指令/數(shù)據(jù)選擇信號(hào)、串行片選信號(hào)5R/W(SID)并行讀寫選擇信號(hào)、串行的數(shù)據(jù)口6E(CLK)并行使能信號(hào)、串行的同步時(shí)鐘15PSBPSB并/串行接口選擇:H-并行,L-串行19LED_A背光源正極20LED_K背光源負(fù)極(0V)2.2.2 滯回比較電路設(shè)計(jì)滯回比較電路將輸入信號(hào)波形轉(zhuǎn)化為脈沖信號(hào),另外波形變換和波形整形電路實(shí)現(xiàn)把正弦波樣的正負(fù)交替的信號(hào)波形變換成可被單片機(jī)接收的TTL信號(hào),以便單片機(jī)對(duì)其進(jìn)行頻率測(cè)量,最后將測(cè)得的數(shù)據(jù)通過12864液晶顯示。滯回比較器是一個(gè)具有遲滯

8、回環(huán)傳輸特性的比較器。在反相輸入單門限電壓比較器的基礎(chǔ)上引入正反饋網(wǎng)絡(luò),就組成了具有雙門限值的反相輸入滯回比較器。由于反饋的作用這種比較器的門限電壓是隨輸出電壓的變化而變化的。它的靈敏度低一些,但抗干擾能力卻大大提高。反相滯回比較器的電路組成如圖2.2.2-1所示,如果把VI和VREF位置互換,就可以構(gòu)成同相輸入遲滯比較器。圖2.2.2-1反相滯回比較器電路組成滯回比較器又可理解為加正反饋的單限比較器。對(duì)于單限比較器,如果輸入信號(hào)在門限值附近有微小的干擾,則輸出電壓就會(huì)產(chǎn)生相應(yīng)的抖動(dòng)(起伏),而在此電路中引入正反饋可以克服這一缺點(diǎn)。整個(gè)滯回比較電路原理圖如圖2.2.2-2所示。圖2.2.2-2

9、滯回比較電路圖OP37和R4、R8組成滯回比較器,對(duì)被測(cè)信號(hào)轉(zhuǎn)化為脈沖信號(hào),二極管實(shí)現(xiàn)對(duì)脈沖信TTL信號(hào),輸入到單片機(jī),以實(shí)現(xiàn)號(hào)進(jìn)行整形,濾去負(fù)電平部分,變成可被單片機(jī)接收的頻率測(cè)量。2.2.3 顯示電路設(shè)計(jì)該頻率1t采用12864液晶進(jìn)行顯示,器件實(shí)物如圖2.2.3-1所示。圖2.2.3-112864液晶顯示器實(shí)物圖12864液晶顯示器是一種具有4位/8位并行、2線或3線串行多種接口方式,內(nèi)部含有國(guó)標(biāo)一級(jí)、二級(jí)簡(jiǎn)體中文字庫(kù)的點(diǎn)陣圖形液晶顯示模塊;其顯示分辨率為128X64,內(nèi)置8192個(gè)16*16點(diǎn)漢字,和128個(gè)16*8點(diǎn)ASCII字符集。利用該模塊靈活的接口方式和簡(jiǎn)單、方便的操作指令,可

10、構(gòu)成全中文人機(jī)交互圖形界面。可以顯示8X4行16X16點(diǎn)陣的漢字。也可完成圖形顯示。低電壓低功耗是其又一顯著特點(diǎn)。由該模塊構(gòu)成的液晶顯示方案與同類型的圖形點(diǎn)陣液晶顯示模塊相比,不論硬件電路結(jié)構(gòu)或顯示程序都要簡(jiǎn)潔得多,且該模塊的價(jià)格也略低于相同點(diǎn)陣的圖形液晶模塊。液晶的控制管腳與430單片機(jī)的連接如下圖2.2.3-2所示。圖2.2.3-2液晶控制管腳連接圖3軟件設(shè)計(jì)3.1 總體設(shè)計(jì)流程圖系統(tǒng)軟件設(shè)計(jì)包括測(cè)量初始化模塊、顯示模塊、定時(shí)器中斷服務(wù)模塊、I/O中斷模塊。系統(tǒng)軟件整體流程圖如圖3.1-1所示。開始初始化程序扇的定時(shí)SS和I/O中斷i十效值計(jì)尊為題率并顯示和串口發(fā)送圖3.1-1系統(tǒng)軟件整體

11、設(shè)計(jì)流程圖3.2 各功能子模塊介紹3.2.1 初始化模塊設(shè)備初始化包括關(guān)閉看門狗,I/O口輸入/輸出功能的配置,時(shí)鐘初始化,端口初始化以及液晶初始化,開總中斷,其流程圖如圖3.2.1-1所示。3.2.2中斷模塊P43OGN5sm上電二關(guān)春口溝一 配置時(shí)鐘二 配置 I/???J 配置定時(shí)囂jLCD和串口初始化 初始化結(jié)束 J3.2.1-1系統(tǒng)初始化流程圖首先開啟定時(shí)溢出中斷和I/O中斷,再打開總中斷,計(jì)數(shù)器開始計(jì)數(shù),當(dāng)計(jì)數(shù)溢出時(shí)進(jìn)入溢出中斷,且溢出次數(shù)加1,當(dāng)有上升沿到來時(shí),進(jìn)入 I/O中斷,計(jì)算兩次中斷之間(個(gè)周期內(nèi))的計(jì)數(shù)值,并轉(zhuǎn)化為頻率值。TA中斷流程圖如圖3.2.2-1所示。進(jìn)入TA溢出

12、中斷TA_OverFlow+ +中斷返回圖3.2.2-1TA中斷流程圖I/O中斷流程圖如圖3.2.2-2所示。進(jìn)入I/。中斷中斷計(jì)數(shù)值i+計(jì)算時(shí)同tiEE且TAOverFlow=0圖3.2.2-2I/O中斷流程圖3.2.3顯示模塊首先根據(jù)12864液晶的時(shí)序圖寫出液晶驅(qū)動(dòng)函數(shù),并調(diào)用驅(qū)動(dòng)函數(shù)完成在指定位置處顯示字符的功能函數(shù),這樣通過定時(shí)刷新液晶屏就可以顯示頻率值了,而且顯示位置可以根據(jù)需要任意指定。3.2.4用口模塊首先將出口進(jìn)行初始化,然后當(dāng)定時(shí)器達(dá)到1S時(shí),串口定時(shí)向PC機(jī)發(fā)送當(dāng)前測(cè)到的頻率值,串口流程圖如圖3.2.4-1所示。串口發(fā)送數(shù)據(jù)等待L,115間隔到J串口發(fā)送頻率到上位機(jī)圖3.

13、2.4-1串口流程圖4系統(tǒng)測(cè)試4.1 測(cè)試430單片機(jī)自身產(chǎn)生1KHz方波的頻率將模擬開關(guān)閉合,使430單片機(jī)自身產(chǎn)生的1KHz的方波接入被測(cè)信號(hào)接口,測(cè)試結(jié)果如表4.1-1。表4.1-1430單片機(jī)自身產(chǎn)生方波測(cè)試結(jié)果次數(shù)實(shí)際頻率測(cè)試頻率串口發(fā)送頻率(ASCII碼)11KHz991Hz39393121KHz992Hz39393231KHz992Hz3939314.2 測(cè)試由信號(hào)發(fā)生儀產(chǎn)生的頻率將模擬開關(guān)斷開,使信號(hào)發(fā)生儀產(chǎn)生方波接入被測(cè)信號(hào)接口,測(cè)試結(jié)果如表4.2-1。表4.2-1外部接入方波測(cè)試結(jié)果:次數(shù)實(shí)際頻率測(cè)試頻率串口發(fā)送頻率(ASCII碼)1100Hz98Hz393821KHz99

14、1Hz393931320KHz19526Hz3139353236435KHz34125Hz3334313235555KHz54655Hz3534363535將模擬開關(guān)斷開,使信號(hào)發(fā)生儀產(chǎn)生正弦波接入被測(cè)信號(hào)接口,測(cè)試結(jié)果如表4.2-2。表4.2-2外部接入正弦波測(cè)試結(jié)果:次數(shù)實(shí)際頻率測(cè)試頻率串口發(fā)送頻率(ASCII碼)1100Hz97Hz393721KHz992Hz393932320KHz19498Hz3139343938435KHz34268Hz3334323638555KHz54623Hz3534363233表4.2-2外部接入正弦波測(cè)試結(jié)果將模擬開關(guān)斷開,使信號(hào)發(fā)生儀產(chǎn)生三角波接入被測(cè)信

15、號(hào)接口,測(cè)試結(jié)果如表4.2-3。表4.2-3外部接入三角波測(cè)試結(jié)果次數(shù)實(shí)際頻率測(cè)試頻率串口發(fā)送頻率(ASCII碼)1100Hz97Hz393721KHz991Hz393931320KHz19544Hz3139353434435KHz34368Hz3334333638555KHz54645Hz3534363435從以上實(shí)測(cè)數(shù)據(jù)看,本設(shè)計(jì)很好地完成了設(shè)計(jì)題目中的各項(xiàng)要求,具有優(yōu)良的性能,且實(shí)物做工精美,這說明本設(shè)計(jì)是比較成功的。附錄附一:參考文獻(xiàn)1 .胡大可,MSP430超低功耗16位單片機(jī)原理與應(yīng)用,北京航空航天大學(xué)出版社2 .童詩(shī)白,華成英,模擬電子技術(shù)基礎(chǔ)(第四版),清華大學(xué)出版社,2006

16、.01.3 .MSP430G2553DataSheet.4 .X2XXUserGuide.5 .Op37DataSheet.附二:元器件及儀器明細(xì)表LanchPad430開發(fā)板1塊電源模塊1個(gè)12864液晶屏1個(gè)MSP430G2553處理器1個(gè)USB線1根焊接板3塊OP37放大器1個(gè)模擬開關(guān)1個(gè)杜邦線電阻電容附三:設(shè)計(jì)原理圖圖附三-1為單片機(jī)最小系統(tǒng)。附三-1單片機(jī)最小系統(tǒng):圖附三-2為L(zhǎng)CD12864液晶顯示電路。附三-2LCD12864液晶顯示電路:圖附三-3為滯回比較電路。附三-3滯回比較電路圖附三-4為模擬開關(guān)。附三-4模擬開關(guān)附四:整體事物圖附五:實(shí)驗(yàn)設(shè)計(jì)程序/* 頭文件* /#in

17、clude<msp430g2553.h>#include"stdio.h"/* 全局變量的定義和宏定義* /unsignedintstart,end;unsignedlongintF=0;unsignedcharTA_overflow;unsignedintTA_i=0;unsignedintport_i;unsignedchartab尸"0123456789"unsignedchara8;unsignedcharint_to_string10;unsignedcharint_array10;#defineucharunsignedchar#

18、defineuintunsignedintSCLK_0 P2OUT &= BIT2SCLK_1 P2OUT |= BIT2 PSB0 P2OUT &= BIT3/片選為低電平/片選為高電平/串行數(shù)據(jù)輸入為0/串行數(shù)據(jù)輸入為1/時(shí)鐘線拉低/時(shí)鐘線拉高/出行輸入#defineCS_0#defineCS_1#defineSID_0#defineSID_1#define#define#defineP2OUT&=BIT0P2OUT|=BIT0P2OUT&=BIT1P2OUT|=BIT1/4 個(gè)端口設(shè)置為輸出#defineLCD_DIR_OUTP2DIR|=BIT0+BIT

19、1+BIT2+BIT3/* 名稱:SendByte* 功能:發(fā)送數(shù)據(jù)* 輸入:Dbyte* 輸出:無*voidSendByte(ucharDbyte)uchari;LCD_DIR_OUT;for(i=0;i<8;i+)if(Dbyte<<i)&0x80)SID_1;elseSID_0;SCLK_0;_delay_cycles(2);SCLK_1;/* 名稱:Write_Instruction* 功能:向LCD寫指令* 輸入:data* 輸出:無*voidWrite_Instruction(uchardata)LCD_DIR_OUT;CS_1;SendByte(0xf8

20、);SendByte(data&0xf0);SendByte(data<<4)&0xf0);_delay_cycles(20);,* 名稱* 功能* 輸入* 輸出Write_DataLCD 寫入數(shù)據(jù)data無*voidWrite_Data(uchardata)LCD_DIR_OUT;CS_1;SendByte(0xfa);SendByte(data&0xf0);SendByte(data<<4)&0xf0);_delay_cycles(20);,* 名稱* 功能* 輸入* 輸出LCD12864_Delay()初始化LCD12864無無*v

21、oidLCD_Init()LCD_DIR_OUT;/設(shè)置輸入方向?yàn)檩敵鯬SB_0;/LCD為串行輸入方式Write_Instruction(0x30);/基本指令集_delay_cycles(10000);Write_Instruction(0x02);/地址歸位_delay_cycles(10000);Write_Instruction(0x0c);/整體顯示打開,游標(biāo)關(guān)閉_delay_cycles(10000);Write_Instruction(0x01);/清除顯示_delay_cycles(10000);Write_Instruction(0x06);/游標(biāo)右移_delay_cycl

22、es(10000);/設(shè)定顯示的起始地址Write_Instruction(0x80);_delay_cycles(10000);/* 名稱:Write_Pos* 功能:確定輸入數(shù)據(jù)的位置* 輸入:x,y* 輸出:無*voidWrite_Pos(ucharx,uchary)ucharpos;if(x=1)/第一行顯示x=0x80;elseif(x=2)/第二行顯示x=0x90;elseif(x=3)/第三行顯示x=0x88;elseif(x=4)/第四行顯示x=0x98;pos=x+y-1;Write_Instruction(pos);/顯示地址/* 名稱:Write_Word_To_1286

23、4* 功能:在坐標(biāo)x,y處寫入數(shù)據(jù)* 輸入:x,y,*word* 輸出:無*voidWrite_Word_To_12864(ucharx,uchary,uchar*word)uchari;LCD_Init();Write_Pos(x,y);for(i=0;*(word+i)!='0'i+)Write_Data(wordi);voidShowInit()Write_Word_To_12864(1,1,"頻率計(jì):");_delay_cycles(50000);Write_Pos(2,7);Write_Data('H');Write_Data(&#

24、39;z');_delay_cycles(10000);voidShowF()Write_Pos(2,4);if(F>=100000)Write_Data(tabF/100000);Write_Data(tabF%100000/10000);Write_Data(tabF%10000/1000);Write_Data(tabF%1000/100);Write_Data(tabF%100/10);Write_Data(tabF%10);_delay_cycles(1000);elseif(F>=10000)Write_Data(tabF/10000);Write_Data(t

25、abF%10000/1000);Write_Data(tabF%1000/100);Write_Data(tabF%100/10);Write_Data(tabF%10);_delay_cycles(1000);elseif(F>=1000)Write_Data(tabF/1000);Write_Data(tabF%1000/100);Write_Data(tabF%100/10);Write_Data(tabF%10);_delay_cycles(1000);elseif(F>=100)Write_Data(tabF/100);Write_Data(tabF%100/10);Wr

26、ite_Data(tabF%10);_delay_cycles(1000);elseif(F>=10)Write_Data(tabF/10);Write_Data(tabF%10);_delay_cycles(1000);elseWrite_Data(tabF);_delay_cycles(1000);/*名稱功能輸入輸出Init_uart0初始化串口無無*/voidInit_uart0()UCA0CTL1|=UCSWRST;/UCA0CTL0&=UC7BIT;/UCA0軟件復(fù)位字符長(zhǎng)度為8UCA0CTL1|=UCSSEL_2;/選擇系統(tǒng)時(shí)鐘:SMCLKUCA0BR0=0x6D;

27、/波特率為9600UCA0BR1=0;UCA0MCTL=0;/UCA0MCTL=UCBRS0;IE2=UCA0RXIE+UCA0TXIE;/開接收使能UCA0CTL1&=UCSWRST;P1SEL|=BIT1+BIT2;/將P1.1P1.2設(shè)為第二功能P1SEL2|=BIT1+BIT2;/*名稱功能輸入輸出Uart0Sends串口發(fā)送數(shù)據(jù)*s無*/voidUart0SendsData(char*s)while(*s!='0')UCA0TXBUF=*s;while(IFG2&UCA0TXIFG)=0);/查詢發(fā)送是否結(jié)束IFG2&=UCA0TXIFG;/清

28、除發(fā)送一標(biāo)志位s+;,* 名稱:Init_In* 功能:初始化外部終端* 輸入:無* 輸出:無*voidInit_In()P1DIR|=BIT6;P1DIR&=BIT3;P1IES|=BIT3;P1IE|=BIT3;P1IFG&=BIT3;_EINT();voidInit_Timer()TACCTL0 = CCIE;/CCR0interruptenabledTACCR0=1;TACTL=TASSEL_1+MC_1+TAIE+TACLR;/upmode,* 名稱:Int_To_String* 功能:將一個(gè)int型數(shù)據(jù)轉(zhuǎn)換為String型* 輸入:now_f* 輸出:無*voidInt_To_String(unsignedlongintnow_f)intj=0;for(j=0;j+)int_arrayj=now_f%10+48;now_f=now_f/10;if(now_f=0)break;inti=j;for(i=j,j=0;i>=0;i-,j+)int_to_stringj=int_arrayi;voidmain()WDTCTL=WDTPW+WDTHOLD;P1DIR|=BIT7;/P1.0outputP1DIR|=

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論