課程設(shè)計簡易洗衣機控制器_第1頁
課程設(shè)計簡易洗衣機控制器_第2頁
課程設(shè)計簡易洗衣機控制器_第3頁
課程設(shè)計簡易洗衣機控制器_第4頁
課程設(shè)計簡易洗衣機控制器_第5頁
已閱讀5頁,還剩21頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、簡易洗衣機控制器摘要:本設(shè)計是基于數(shù)字電路定時器的洗衣機簡易控制電路。通過預(yù)置洗衣機的洗滌時間來自動的正反轉(zhuǎn)和暫停,并且用不同的指示燈來表示洗衣機的正反暫停三狀態(tài)。當(dāng)?shù)竭_定時時間后,會自動報警,發(fā)出蜂鳴聲。同時,用兩位數(shù)碼管來顯示預(yù)置時間和洗滌剩余時間。電路主要分為顯示電路、秒脈沖發(fā)生電路、計時電路、報警狀態(tài)電路和洗衣機正反轉(zhuǎn)控制電路。顯示電路使用的是兩個單位的共陰極八段數(shù)碼管,使用兩片CD4511作為數(shù)碼管譯碼芯片。秒脈沖發(fā)生電路采用了使用成熟廣泛的555定時器芯片,產(chǎn)生秒信號,作為各芯片的時鐘信號。計時電路使用的是四片十進制可逆計數(shù)器74LS192芯片,分別設(shè)計為十進制和六進制電路,用于完

2、成時間的定時、計時功能。報警電路和狀態(tài)顯示電路使用的是簡單的非門和與非門74LS04、74LS00組成的邏輯組合電路,分別控制蜂鳴器和發(fā)光二極管,來實現(xiàn)報警和狀態(tài)顯示。電機控制電路采用了3-8譯碼器74LS138和與非門電路的組合,實現(xiàn)電機正反暫停狀態(tài)。實驗通過電腦軟件仿真和實物驗證,具有良好的可行性,能夠稍加修改后用于洗衣機控制電路中,能滿足一般的要求。關(guān)鍵詞 :洗衣機控制 ;數(shù)字電子控制;定時器Abstracts:The design is based on the simple digital circuit timer of washing machine. The washing m

3、achine is to be positive negative and suspension by preset time, and expressed in different light to suspend three washing state. After the time run over, will automatically alarm, beeping. At the same time, with two digital tubes to display the preset time and washing time remaining.Circuit consist

4、s of display circuit, pulse generator and timing circuits, alarm circuit and washing machine positive negative state control circuit. Display circuit is two units of digital pipe, use of catholic erection CD4511 pieces as digital tube decoding chips. Second pulse generator adopted widely used mature

5、 555 timing, as the signal, the clock signal chip. Timing circuits using four decimal reversible counter 74ls192 chip design, respectively for the decimal system and six for completion time of the circuit, timing, and timer function. Alarm circuit and status display circuit is simple logic cross-cou

6、pled nand gates and 74ls04 74ls00, consisting of logic circuit, control and light-emitting diodes, buzzer to realize alarm and status display. The motor control circuit used 3-8 74ls138 decoder cross-coupled nand gates and combination of circuit, motor and suspended state.Computer software simulatio

7、n and experiment through physical test, has the good feasibility, a washing machine, used for the control circuit of the general requirement can meet.Keywords: washing machine control, Digital electronic control, The timer目錄1.前言12.總體方案設(shè)計22.1 方案比較22.11 設(shè)計要求分析與方案比較22.2 方案論證42.3 方案選擇43.單元模塊設(shè)計53.1電路參數(shù)的計

8、算及元器件的選擇53.11秒信號發(fā)生器選擇53.12 分秒計數(shù)器選擇53.13 顯示電路53.15 狀態(tài)顯示電路63.16 洗衣機控制電路63.17 報警電路及延時電路63.2各單元模塊功能介紹及電路設(shè)計73.21 秒信號發(fā)生器電路73.22 分秒計數(shù)器73.23 顯示電路83.24 狀態(tài)顯示電路83.25 洗衣機控制電路93.26 報警電路93.3 特殊器件介紹103.31 555定時器103.32 74LS192 計數(shù)器113.33 CD4511譯碼器133.34 74LS138譯碼器133.4 各單元模塊的聯(lián)接143.41 工作原理143.42 總原理圖153.43 元件清單164.軟件

9、設(shè)計164.1 設(shè)計所用工具164.2 設(shè)計流程164.3 工作流程175.系統(tǒng)功能及調(diào)試185.1 系統(tǒng)功能185.2 調(diào)試方法185.3 調(diào)試內(nèi)容185.31 預(yù)設(shè)時間輸入調(diào)試185.32 指示燈調(diào)試205.33 報警器調(diào)試216設(shè)計總結(jié)227.參考文獻238.附錄231.前言現(xiàn)代社會人們的生活水平越來越高,洗衣機作為一種方便人們洗滌的設(shè)備進入了千家萬戶。洗衣機控制器的設(shè)計也變的越來越重要。隨著大規(guī)模集成電路技術(shù)的發(fā)展,人們的日常生活越來越數(shù)字化和集成化,洗衣機控制器的發(fā)展也在不斷的前進。根據(jù)設(shè)計內(nèi)容和設(shè)計要求,本次課程設(shè)計用到了我們熟悉的555定時器,74LS192芯片,74LS138,

10、CD4511芯片,數(shù)碼管等電路器件。通過一些基本的電路元件(電阻、電容)和門電路(非門、或非門、與門、與非門)將各個電路器件連接起來,實現(xiàn)我們所要實現(xiàn)的功能。2.總體方案設(shè)計2.1 方案比較2.11 設(shè)計要求分析與方案比較1、 本設(shè)計要求完成洗衣機控制電路的設(shè)計,設(shè)計一個電子定時器,控制洗衣機作如下運轉(zhuǎn): 圖2.1 洗衣機洗滌過程圖(1)、定時啟動®正轉(zhuǎn)20秒®暫停10秒®反轉(zhuǎn)20秒®暫停10秒®定時未到回到“正轉(zhuǎn)20秒®暫停10秒®”,定時到則停止;(2)、用兩個數(shù)碼管顯示洗滌的預(yù)置時間(分鐘數(shù)),按倒計時方式對洗滌過程作

11、計時顯示,直到時間到停機;(3)、三只LED燈表示“正轉(zhuǎn)”、“反轉(zhuǎn)”、“暫?!比齻€狀態(tài)。通過以上要求,洗滌模式是以60秒鐘作為循環(huán)。首先,從秒脈沖出來的信號,經(jīng)過一個控制電路后進入秒計數(shù)器進行秒計數(shù),進行清零,這時用戶置入洗滌時間,并按開始按鈕,洗衣機開始工作。當(dāng)秒計數(shù)器變?yōu)榱愕臅r候,去分鐘計數(shù)器上面借數(shù);與此同時,從十秒位轉(zhuǎn)化出來的信號進入移位寄存器后,LED燈表示出電機運轉(zhuǎn)狀態(tài);當(dāng)用戶設(shè)定的洗滌時間結(jié)束后,電路報警并清零;同時電機指示燈熄滅。根據(jù)以上分析,設(shè)計電路基本框圖如下:方案一:圖2.2 方案一框圖方案二 :圖2.3 方案二框圖2.2 方案論證本定時器實際上包含兩級定時的概念,一是總

12、洗滌過程的定時,而是在總洗滌過程中又包含電機的正轉(zhuǎn)、反轉(zhuǎn)和暫停三種定時,并且這三種定時是反復(fù)循環(huán)直至所設(shè)定的總定時時間到位置??奢斎肟偠〞r時間在099min以內(nèi)。后用倒計時的方法每分鐘減1直至?xí)r間變?yōu)?。在此期間,要控制電路實現(xiàn)正轉(zhuǎn)、暫停、反轉(zhuǎn)三個功能。實現(xiàn)定時的方法很多,比如采用555定時器構(gòu)成諧振電路實現(xiàn)定時,又如將定時初值預(yù)置到計數(shù)器中,使計數(shù)器運行在減計數(shù)狀態(tài),當(dāng)減到全零時,則定時時間到。方案二的電路原理框圖就是采用這種方法實現(xiàn)的。由秒脈沖發(fā)生器產(chǎn)生的時鐘信號經(jīng)60分頻后,得到分脈沖信號。洗滌定時的時間的初值先通過撥盤或數(shù)碼開關(guān)設(shè)置到洗滌時間計數(shù)器中,每當(dāng)分脈沖到來計數(shù)器減1,直至減到

13、定時時間到為止。運行中間,剩余時間經(jīng)譯碼后在數(shù)碼管上進行顯示。運行時間結(jié)束后,報警電路報警。2.3 方案選擇因采用單穩(wěn)電路實現(xiàn)定時,可以用555定時器來實現(xiàn)。555定時器集成度高、性能好,運用比較廣泛。它的功耗低、輸入阻抗高,并且比較容易實現(xiàn)設(shè)計。經(jīng)比較后,采用第二種方案。3.單元模塊設(shè)計3.1電路參數(shù)的計算及元器件的選擇3.11秒信號發(fā)生器選擇方案一:石英晶體振蕩器此電路的震蕩頻率僅取決于石英晶體串聯(lián)諧振頻率fs,與電路中的R、C的只無關(guān),所以此電路能夠得到頻率穩(wěn)定性高的脈沖波形。但是它的頻率不能調(diào)節(jié),而且頻帶窄,不能用于寬頻濾波,此電路非常適合秒脈沖發(fā)生器的設(shè)計,但是由于洗衣機電路對秒信號

14、精度要求不高,因此不采用此方案。方案二:由555定時器構(gòu)成多諧振蕩器由于555定時器內(nèi)部的比較器靈敏度比較高,輸出驅(qū)動電流大,功能靈活,且采用差分電路形式,它的頻率受電源電壓和溫度的影響很小,所以由555定時器構(gòu)成多諧振蕩器振蕩頻率穩(wěn)定,不易受干擾。并且555定時器使用成熟,因此采用此方案。3.12 分秒計數(shù)器選擇方案一:采用74LS190芯片實現(xiàn)74ls190為十進制可逆計數(shù)芯片,為異步置數(shù),可以實現(xiàn)設(shè)計要求的置數(shù)和計數(shù),但是用該芯片實現(xiàn)分鐘置數(shù)設(shè)計比較復(fù)雜,不采用此方案。方案二:采用74LS192芯片實現(xiàn)74LS192是同步十進制可逆計數(shù)器,它具有雙時鐘輸入,并具有清除和置數(shù)等功能。置數(shù)比

15、較簡單,因此采用此方案。3.13 顯示電路顯示電路用單位的譯碼管和相適應(yīng)的顯示譯碼器構(gòu)成,此處采用四個共陰極單位數(shù)碼管和四塊CD4511譯碼芯片組成,應(yīng)用十分簡單。3.15 狀態(tài)顯示電路采用三個不同顏色的數(shù)碼管來顯示不同的洗滌狀態(tài),通過74ls138作為譯碼器,來實現(xiàn)狀態(tài)顯示功能。洗衣機洗滌共三中狀態(tài),分別為暫停、正轉(zhuǎn)、反轉(zhuǎn),用三種不同顏色的LED燈來表示,在三個狀態(tài)中,40-60秒為正轉(zhuǎn)、30-40秒為暫停、10-30秒為反轉(zhuǎn)、0-10秒為暫停。分別用紅綠黃來表示正轉(zhuǎn)、反轉(zhuǎn)和暫停三種狀態(tài)。三個狀態(tài)區(qū)的區(qū)分標(biāo)志位為秒信號的十分位,當(dāng)74LS192輸出為0000-0001為暫停;當(dāng)輸出為0001

16、-0011為反轉(zhuǎn);當(dāng)輸出為0011-0100為暫停;當(dāng)輸出為0011-0100為正轉(zhuǎn)。有效區(qū)狀態(tài)為三位,為了容易實現(xiàn),此處采用74LS138譯碼器,進過譯碼后有八位,容易進行分區(qū)。3.16 洗衣機控制電路通過不同的門電路74LS00、74LS04進行組合,配合使用輕觸按鈕和單擲開關(guān),控制電路實現(xiàn)清零、置數(shù)、開始、關(guān)閉等各種功能,實現(xiàn)預(yù)設(shè)的效果。洗衣機控制電路要完成功能有時間預(yù)置,定時報警,正反暫停狀態(tài)控制,定時時間到后停止洗衣機運行。 因此使用三個按鍵,分別實現(xiàn)時間的分個位、分十位和開始工作功能。按鍵接到門電路的輸入,經(jīng)過上拉電阻,未按下時,是高電平狀態(tài),當(dāng)按下時,為低電平,按鍵兩邊并聯(lián)一個0

17、.1uf的磁片電容消除尖脈沖。時間預(yù)置是通過按鍵經(jīng)過一個非門,在接入二輸入與非門電路的一個輸入,另一個接與非門輸入端接入秒脈沖信號,當(dāng)按鍵按下時,門電路打開,秒信號能夠進入74LS192的UP端進行計數(shù)。起到時間預(yù)置功能。狀態(tài)的控制可以使用上面的狀態(tài)LED燈的輸入作為繼電器的輸入,當(dāng)紅燈亮?xí)r,信號同時到達繼電器,是電機接正的5V電源,當(dāng)綠燈亮的時候,信號到達另一個繼電器,電機接到-5V,電機反轉(zhuǎn)。當(dāng)為黃燈的時候,亮電平都為低電平,繼電器不工作,電機暫停。電路的啟動與停止時本設(shè)計的獨特點,當(dāng)定時時間到了之后,高位74LS192的BO輸出端會產(chǎn)生一個低電平信號,把這個信號送給RS觸發(fā)器的R端,并把

18、RS觸發(fā)器的Q輸出作為一個二輸入與非門的輸入端,另一個輸入一個秒信號,與非門的輸出接入到低位的74LS192的DOWN端作為信號輸入。這時,RS觸發(fā)器會置0,封鎖了與非門,是秒信號不能進入74LS192,電路停止。同時,用一個按鍵來控制RS觸發(fā)器的S端,在設(shè)置時間過程中,BO信號會消失,但是RS此時輸入為1 1 ,為保持狀態(tài),即RS輸出為低電平,電路仍然不能計時。當(dāng)時設(shè)置好時間后,按下按鍵,RS置1,與非門打開,秒信號進去。3.17 報警電路及延時電路報警電路采用蜂鳴器,蜂鳴器的正極接到RS觸發(fā)器的反相輸出端,在到達定時的時間后,方向輸出為高電平,蜂鳴器報警。但因蜂鳴器鳴叫時間太短,不能達到提

19、示效果,所以必須聯(lián)接一個延時電路。本設(shè)計用555定時器構(gòu)成延時電路,延長報警時間,達到較好的報警效果。3.2各單元模塊功能介紹及電路設(shè)計3.21 秒信號發(fā)生器電路由555定時器構(gòu)成多諧振蕩器:圖3.2 555定時器構(gòu)成多諧振蕩器功能:產(chǎn)生頻率穩(wěn)定的振蕩電流,振蕩時間間隔為1s,可實現(xiàn)電路按秒計數(shù)的功能。3.22 分秒計數(shù)器74LS192構(gòu)成分秒計數(shù)器:圖3.3 74LS192構(gòu)成分秒計數(shù)器功能:實現(xiàn)預(yù)設(shè)時間輸入,清零端可對分計時器清零,在秒脈沖信號的作用下,可實現(xiàn)減計數(shù)功能,電路實現(xiàn)預(yù)定計時效果。3.23 顯示電路CD4511與七段譯碼管構(gòu)成顯示電路:圖3.4 CD4511與七段譯碼管構(gòu)成顯示

20、電路功能:顯示洗衣機工作時剩余及當(dāng)前工作時間,前兩個譯碼管顯示分鐘和后兩個譯碼管顯示秒鐘。完成預(yù)置時間和工作時間的顯示功能。3.24 狀態(tài)顯示電路圖 3.5 與非門與78LS138顯示電路功能:由78LS138芯片實現(xiàn)譯碼,把現(xiàn)實狀態(tài)分為三個區(qū),74LS00與非門電路與三個發(fā)光二極管構(gòu)成,分別顯示洗衣機正轉(zhuǎn)、反轉(zhuǎn)、暫停三個狀態(tài)。三個指示燈,從依左到右次代表“正轉(zhuǎn)”、“暫?!薄ⅰ胺崔D(zhuǎn)”。從60秒到40秒內(nèi)第一個燈亮,從40到30秒內(nèi)是第二個燈亮。在30到10秒內(nèi)是第三個燈亮,然后剩下的十秒鐘是第二個燈亮,如此完成第一個循環(huán),剛好一分鐘,之后的時間依次循環(huán)。3.25 洗衣機控制電路圖3.6洗衣機控

21、制電路功能:通過調(diào)節(jié)按鈕可對預(yù)設(shè)洗滌時間進行調(diào)節(jié)。左邊按鈕為分鐘十位調(diào)節(jié),中間按鍵為分鐘個位調(diào)節(jié),右邊按鍵為開始按鈕,左上角單擲開關(guān)為清零復(fù)位開關(guān)。3.26 報警電路圖3.7報警電路圖功能:當(dāng)用戶預(yù)設(shè)洗滌時間運行完時報警,提示用戶。3.3 特殊器件介紹3.31 555定時器555定時器是一種模擬與數(shù)字功能相結(jié)合的中規(guī)模集成器件,它的成本低,性能可靠,只需外接幾個電阻、電容就可實現(xiàn)多諧振蕩器。555引腳圖和電路內(nèi)部結(jié)構(gòu)電路圖如下圖所示。它內(nèi)部包括兩個電壓比較器,三個等值串聯(lián)電阻,一個 RS 觸發(fā)器,一個放電管 T 及功率輸出極。它提供兩個基準(zhǔn)電壓VCC /3 和 2VCC /3。圖3.8 555

22、引腳圖和電路內(nèi)部結(jié)構(gòu)電路圖555 定時器的功能主要由兩個比較器決定。兩個比較器的輸出電壓控制 RS 觸發(fā)器和放電管的狀態(tài)。在電源與地之間加上電壓,當(dāng) 5 腳懸空時,則電壓比較器 C1 的同相輸入端的電壓為 2VCC /3,C2 的反相輸入端的電壓為VCC /3。若觸發(fā)輸入端 TR 的電壓小于VCC /3,則比較器 C2 的輸出為 0,可使 RS 觸發(fā)器置 1,使輸出端 OUT=1。如果閾值輸入端 TH 的電壓大于 2VCC/3,同時 TR 端的電壓大于VCC /3,則 C1 的輸出為 0,C2 的輸出為 1,可將 RS 觸發(fā)器置 0,使輸出為 0 電平。由555定時器構(gòu)成多諧振蕩器電路及波形圖

23、:R1R2圖3.9 555定時器構(gòu)成多諧振蕩器電路及波形圖振蕩電路中,接通電源后,電容C被充電,當(dāng)Vc上升到2Vcc/3時,使V0為低電平,同時放電三極管T導(dǎo)通,此時電容通過R2和T放電,VC下降。當(dāng)VC下降到Vcc/3時,V0翻轉(zhuǎn)為高電平。電容C的放電所需時間為tPL=R2C1n20.7R2C放電結(jié)束時,T截止,VCC將通過R1、R2向電容充電,VC由Vcc/3上升到2Vcc/3所需的時間為tpH = (R1+R2)C1n20.7(R1+R2)C當(dāng)VC上升到2Vcc/3時,V0翻轉(zhuǎn)為低電平。由此得到一個周期性的矩形波。改變電容R1、R2或C的值可以改變矩形波的周期和頻率。本設(shè)計需要秒信號,則

24、令 T=1s,C=10uf,可得R1=15k,R2 =68k為常用電阻值,可得到秒信號。3.32 74LS192 計數(shù)器引腳及功能表:圖3.10 74LS192引腳圖圖中:為置數(shù)端,為加計數(shù)端,為減計數(shù)端,為清除端,為非同步進位輸出端, 為非同步借位輸出端,P0、P1、P2、P3為計數(shù)器輸入端, Q0、Q1、Q2、Q3為數(shù)據(jù)輸出端。表3.1 74LS192功能表輸入輸出MRP3P2P1P0Q3Q2Q1Q01×××××××000000××dcbadcba011××××

25、加計數(shù)011××××減計數(shù)計數(shù)器聯(lián)接:圖3.11 74LS192計數(shù)器聯(lián)接圖百進制分計數(shù)器和六十秒計數(shù)器的原理一樣,只是它們的輸入脈沖和進制不同,本設(shè)計用四片74LS192來實現(xiàn)分計數(shù)和秒計數(shù)功能,因只要減計數(shù),所以把芯片的UP端接到高電平上去,DOWN端接到秒脈沖上;十分秒位上的輸入端B、C端接到高電平上,即從輸入端置入0110(十進制的6),秒十位的LD端和借位端BO聯(lián)在一起,再把秒位的BO端和十秒位的DOWN聯(lián)在一起。當(dāng)秒脈沖從秒位的DOWN端輸入的時候秒計數(shù)的192開始從9減到0;這時,它的借位端BO 會發(fā)出一個低電平到秒十位的輸入端DOWN,秒

26、十位的計數(shù)從6變到5,一直到變?yōu)?;當(dāng)高低位全為零的時候,秒十位的BO發(fā)出一個低電平信號,DOWN為零時,置數(shù)端LD等于零,秒十位完成并行置數(shù),下一個DOWN脈沖來到時,計數(shù)器進入下一個循環(huán)減計數(shù)工作中。對于分計數(shù)來說,同樣的道理。當(dāng)秒計數(shù)完成了,分可以自動減少,需要把秒十位的借位端BO端接到分計數(shù)的DOWN端作為分計數(shù)的輸入信號來實現(xiàn)秒從分計數(shù)上的借位。其中的清零端CR要處于低電平,置數(shù)端不置數(shù)時要處于高電平。這是一個獨立工作的最高可以顯示100分鐘的計時器。把四個192的QA/QB/QC/QD都接到外部的顯示電路上就可以看到時間的顯示了。作為洗衣機控制器的一個模塊,它還得有一定的接口來和其

27、他的模塊連接在一起協(xié)調(diào)工作,分計數(shù)的清零端LD是接在一起的;秒的清零端LD又是接在一起的,所以當(dāng)要從外部把它們強制清零時,可以用一個三極管(NPN)或者兩個或門就可以實現(xiàn)該功能。利用分計數(shù)的UP端來進行外部置數(shù),當(dāng)把它們各接到一個低觸發(fā)(平時保持高電平,外部給一個力就輸入一個低電平)的脈沖上就可以實現(xiàn)從09的數(shù)字輸入,完成置數(shù)。3.33 CD4511譯碼器圖3.12 CD4511譯碼器引腳圖各引腳功能如下: BI:4腳是消隱輸入控制端,當(dāng)BI=0 時,不管其它輸入端狀態(tài)如何,七段數(shù)碼管均處于消隱狀態(tài)。LT:3腳是測試輸入端,當(dāng)BI=1,LT=0 時,譯碼輸出全為1,不管輸入 DCBA 狀態(tài)如何

28、,七段均發(fā)亮,顯示“8”。它主要用來檢測數(shù)碼管是否損壞。LE:鎖定控制端,當(dāng)LE=0時,允許譯碼輸出。 LE=1時譯碼器是鎖定保持狀態(tài),譯碼器輸出被保持在LE=0時的數(shù)值。A、B、C、D為8421BCD碼輸入端。QA、QB、QC、QD、QE、QF、QG:為譯碼輸出端,輸出為高電平1有效。3.34 74LS138譯碼器74LS138譯碼器引腳圖、內(nèi)部結(jié)構(gòu)及功能表:圖3.13 74LS138譯碼器引腳圖、內(nèi)部結(jié)構(gòu)圖表3.2 74LS138譯碼器功能表74LS138作為譯碼電路,G1接高電平,G2接低電平。ABC譯碼器輸入端分別接到74LS192的低三位。Y0Y7作為輸出,低電平有效則通過門電路,將

29、Y4和Y5與非后作為紅燈輸入,表示正轉(zhuǎn)狀態(tài)。Y0和Y3與非后作為黃燈輸入,表示暫停狀態(tài)。Y1和Y2與非后作為綠燈輸入,表示反轉(zhuǎn)狀態(tài)。3.4 各單元模塊的聯(lián)接3.41 工作原理首先,從秒脈沖出來的信號,經(jīng)過一個控制電路后進入秒計數(shù)器進行秒計數(shù),進行清零,這時用戶置入洗滌時間,并按開始按鈕,洗衣機開始工作。當(dāng)秒計數(shù)器變?yōu)榱愕臅r候,去分鐘計數(shù)器上面借數(shù);與此同時,從十秒位轉(zhuǎn)化出來的信號進入移位寄存器后,LED燈表示出電機運轉(zhuǎn)狀態(tài);當(dāng)用戶設(shè)定的洗滌時間結(jié)束后,電路報警并清零;同時電機指示燈熄滅。3.42 總原理圖圖3.14 總原理圖3.43 元件清單表3.3 元件清單表型號主要參數(shù)數(shù)量555 定時器定

30、時器三個一位七段譯碼管共陰極四個CD4511顯示譯碼器BCD顯示譯碼四個74LS192雙時鐘可逆計數(shù)器四個74LS04與門三個74LS00與非門九個輕觸按鍵輕觸三個瓷片電容100nf 0.02u 0.01五個極性電容10uf兩個電阻15k 68k 470 10k 100k十個發(fā)光二極管紅黃綠橙三個蜂鳴器有源蜂鳴器一個74HC4002 四輸入或非門四個74LS21四輸入與門一個74LS1383-8譯碼器一個4.軟件設(shè)計4.1 設(shè)計所用工具操作系統(tǒng):window 7 軟件:proteus ISIS4.2 設(shè)計流程圖4.1設(shè)計流程圖4.3 工作流程圖4.2 工作流程圖5.系統(tǒng)功能及調(diào)試5.1 系統(tǒng)功

31、能本設(shè)計是一個電子定時器,控制洗衣機作如下運轉(zhuǎn):定時啟動®正轉(zhuǎn)20秒®暫停10秒®反轉(zhuǎn)20秒®暫停10秒®定時未到回到“正轉(zhuǎn)20秒®暫停10秒®”,定時到則停止;兩個數(shù)碼管可以顯示洗滌的預(yù)置時間(分鐘數(shù)),按倒計時方式對洗滌過程作計時顯示,直到時間到停機;三只LED燈表示“正轉(zhuǎn)”、“反轉(zhuǎn)”、“暫停”三個狀態(tài);時間停止以后蜂鳴器報警,提示用戶。5.2 調(diào)試方法電子電路調(diào)試方法有兩種:分塊調(diào)試法和整體調(diào)試法。通過對電路進行仿真,本課程設(shè)計采用整體調(diào)試法。5.3 調(diào)試內(nèi)容5.31 預(yù)設(shè)時間輸入調(diào)試開始狀態(tài):圖5.1開關(guān)閉合:圖5.2開關(guān)斷開:圖5.3分鐘數(shù)十位置數(shù):圖5.4分鐘數(shù)個位置數(shù):圖5.55.32 指示燈調(diào)試40-60秒為正轉(zhuǎn)(紅燈亮):圖5.630-40秒為暫停(黃燈亮):圖5.710-30秒為反轉(zhuǎn)(綠燈亮):圖5. 80-10秒為暫停(黃燈亮):圖5.95.33 報警器調(diào)試顯示時間為零時(清零時),

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論