版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、LED數(shù)碼顯示電子鐘一、產(chǎn)品說明數(shù)碼顯示電子鐘電路,采用LM8560、CD4060和四位LED顯示屏,通過驅(qū)動(dòng)顯示屏便能顯示時(shí)、分。振蕩部分采用石英晶體振作時(shí)基信號源,從而保證了走時(shí)的精確。該電路還供有定時(shí)報(bào)警功能,它定時(shí)調(diào)整方便,電路穩(wěn)定可靠,能耗低。該產(chǎn)品還具有定時(shí)報(bào)警功能。它定時(shí)調(diào)整方便,電路穩(wěn)定可靠。本產(chǎn)品時(shí)鐘顯示采用12小時(shí)制,使用四個(gè)LED數(shù)碼管顯示時(shí)間,本產(chǎn)品由五個(gè)操作開關(guān)控制S1、S2、S3、S4、K1。其輸入電源為220V交流電源,經(jīng)由變壓器輸出6V電壓,安全環(huán)保。適應(yīng)于小型辦公場地、書房、臥室的使用。二、原理框圖及程序流程圖1.1原理框圖1.2 程序流程圖 三、原理及參數(shù)該
2、產(chǎn)品由220V交流電直接供電;由集成芯片LM8560與CD4060和四位LED顯示屏實(shí)現(xiàn)時(shí)、分的顯示;并具有鬧鐘功能;由蜂鳴器實(shí)現(xiàn)提示報(bào)警功能。1、CD4060芯片工作電壓范圍寬在3V15V,輸入阻抗高,唯一現(xiàn)在使用的可能就是計(jì)數(shù)器,CD4060的計(jì)數(shù)器可以到14級二進(jìn)制串行計(jì)數(shù)/ 分頻器。CD4060內(nèi)部包含14位二分頻器和一個(gè)振蕩器,電路簡潔,30720HZ的信號經(jīng)分頻后,得到50HZ的信號送到LM8560的25腳,并做秒信號經(jīng)VT2、VT3驅(qū)動(dòng)顯示屏內(nèi)的冒號閃動(dòng)2晶體振蕩器電路給數(shù)字鐘提供一個(gè)頻率穩(wěn)定準(zhǔn)確的32768z的方波信號,可保證數(shù)字鐘的走時(shí)準(zhǔn)確及穩(wěn)定。晶體振蕩器是構(gòu)成數(shù)字式時(shí)鐘的
3、核心,它保證了時(shí)鐘的走時(shí)準(zhǔn)確及穩(wěn)定。數(shù)字鐘的精度主要取決于時(shí)間標(biāo)準(zhǔn)信號的頻率及其穩(wěn)定度。晶體具有較高的頻率穩(wěn)定性及準(zhǔn)確性,從而保證了輸出頻率的穩(wěn)定和準(zhǔn)確。該元件專為數(shù)字鐘電路而設(shè)計(jì),其頻率較低,有利于減少分頻器級數(shù)。3、LM8560集成電路內(nèi)含顯示譯碼驅(qū)動(dòng)電路、12/24小時(shí)選擇電路及以其他各種設(shè)置報(bào)警等電路。它具有較寬的工作電壓范圍(75-14V)和工作溫度范圍(-20+70);自身功耗很小,輸出能直接驅(qū)動(dòng)發(fā)光二極管顯示屏。 LM8560(IC1)是50/60HZ的時(shí)基24小時(shí)專用數(shù)字鐘集成電路,有28只管腳,1-14腳是顯示筆劃輸出,15腳為正電源端,20腳為負(fù)電源端,27腳是內(nèi)部振蕩器R
4、C輸入端,16腳為報(bào)警輸出。4、T1為降壓變壓器,經(jīng)橋式整流(VD6-VD9)及濾波(C3、C4)后得到直流電,供主電路和顯示屏工作。當(dāng)交流電源停電時(shí),備用電池通過VD5向電路供電。四、安裝工藝要求焊接按一下步驟進(jìn)行,只有完成上一步才能進(jìn)行下步,一般先焊裝低矮、耐熱熱元件。若有需要與印制板緊固的較大型元件,或與面板上孔、槽、嵌裝的元件,也須給予特別的注意,具體焊裝步驟:1、清查元器件的數(shù)量(見元器件清單)與質(zhì)量,對不合格元件應(yīng)及時(shí)更換;2、確定元器件的安裝方式、安裝高度,一般它由該器件在電路中的作用、印制板與外殼間的距離以及該元件兩安裝孔之間的距離所決定;3、進(jìn)行引腳處理,即對元件的引腳彎曲成
5、形并進(jìn)行燙錫處理。成型時(shí)不得在引腳根部彎曲,盡量把有字符的元件面至于易觀察的位置,字符應(yīng)從左到右,從上到下;4、插裝:根據(jù)元件位號對號插裝,對有極性的元件插裝時(shí)應(yīng)注意其正負(fù)極性;5、焊接:各焊點(diǎn)加熱時(shí)間及用錫量要適度,對散熱性差的元件應(yīng)使用工具輔助散熱。防止虛焊、錯(cuò)焊,避免因拖錫而造成短路;6、焊后處理:剪去多余引腳線,檢查所有焊點(diǎn),對缺陷進(jìn)行修補(bǔ),必要時(shí)用無水酒精清洗印制板;7、裝外殼:裝外殼前檢查所有元件與面板孔嵌裝的元件是否正確到位;在殼內(nèi)放置的元件應(yīng)放置在其應(yīng)在的位置。五、測試與調(diào)整1、 軟件程序測試:該程序?yàn)閂HDL文件,其功能是顯示時(shí)分,并在整點(diǎn)時(shí)指示燈閃爍,該程序中設(shè)有時(shí)鐘輸入4
6、096hz,清零信號,暫停信號,控制信號,置數(shù)信號,分頻技術(shù),十進(jìn)制數(shù)與BCD碼之間的轉(zhuǎn)換,用這些輸入信號來實(shí)現(xiàn)時(shí)分控制及整時(shí)報(bào)時(shí)。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity test60 isport(clk:in std_logic;-時(shí)鐘輸入 4096hzclr:in std_logic;-清零信號en:in std_logic;-暫停信號mode:in std_logic;-控制信號,用于選擇模式inc:in std_logic;-置數(shù)信號music:in std_log
7、ic;speaker:out std_logic;seg7:out std_logic_vector(7 downto 0);-數(shù)碼管顯示信號scan:out std_logic_vector(2 downto 0);-數(shù)碼管掃描信號end;architecture one of test60 is-定義四種狀態(tài)signal state:std_logic_vector(1 downto 0);-小時(shí)、分、秒的高位和低位signal qhh,qhl,qmh,qml,qsh,qsl:std_logic_vector(3 downto 0);signal data:std_logic_vector
8、(3 downto 0);-掃描數(shù)碼管的計(jì)數(shù)器signal cnt:integer range 0 to 5;-1024hz、1hz、2hz的分頻信號signal clk1khz,clk1hz,clk2hz:std_logic; -閃爍信號signal blink:std_logic_vector(2 downto 0); signal inc_reg:std_logic;signal sec,min:integer range 0 to 59; signal hour:integer range 0 to 23;begin-1024hz分頻,用于掃描數(shù)碼管地址 process(clk)var
9、iable count:integer range 0 to 1;beginif clk'event and clk='1' thenif count=1 then clk1khz<=not clk1khz;count:=0; else count:=count+1;end if;end if;end process;-1Hz分頻,用于計(jì)時(shí)process(clk1khz)variable count:integer range 0 to 511;beginif clk1khz'event and clk1khz='1' thenif cou
10、nt=511 then clk1hz<=not clk1hz;count:=0; else count:=count+1;end if;end if;end process;-2Hz分頻,用于數(shù)碼管閃爍process(clk1khz)variable count:integer range 0 to 255;beginif clk1khz'event and clk1khz='1' thenif count=255 then clk2hz<=not clk2hz;count:=0; else count:=count+1;end if;end if;end
11、process;-模式轉(zhuǎn)換process(mode,clr)beginif clr='1' thenstate<="00"elsif mode'event and mode='1' then state<=state+1;end if;end process;-狀態(tài)控制process(clk1hz,state,en,clr,hour,sec,min) beginif en='1' thenhour<=hour; min<=min; sec<=sec;elsif clr='1'
12、; thenhour<=0; min<=0; sec<=0;elsif clk1hz'event and clk1hz='1' then case state is-模式0,正常計(jì)時(shí)when "00"=>if sec=59 then sec<=0; if min=59 then min<=0; if hour=23 then hour<=0; else hour<=hour+1;end if; else min<=min+1;end if; else sec<=sec+1; end if;-
13、模式1,設(shè)定小時(shí)時(shí)間when "01"=> if inc='1' thenif inc_reg='0' then inc_reg<='1' if hour=23 then hour<=0; else hour<=hour+1; end if; end if; else inc_reg<='0' end if; -模式2,設(shè)定分鐘時(shí)間when "10"=>if inc='1' then if inc_reg='0' then
14、inc_reg<='1' if min=59 then min<=0; else min<=min+1; end if; end if; else inc_reg<='0' end if; -模式3,設(shè)定秒鐘時(shí)間when "11"=> if inc='1' then if inc_reg='0' then inc_reg<='1' if sec=59 then sec<=0; else sec<=sec+1; end if; end if; els
15、e inc_reg<='0' end if; end case; end if;end process;-整點(diǎn)報(bào)時(shí)功能 process(min)beginif min=0 then speaker<=clk2hz;else speaker<='0'end if;end process;-當(dāng)進(jìn)行時(shí)間設(shè)定時(shí),令數(shù)碼管閃爍 process(state,clk2hz)begincase state is when"00"=>blink<="000" when"01"=>bli
16、nk<=(2=>clk2hz,others=>'0'); when"10"=>blink<=(1=>clk2hz,others=>'0'); when"11"=>blink<=(0=>clk2hz,others=>'0'); end case;end process;-分計(jì)數(shù)的十進(jìn)制轉(zhuǎn)BCD碼process(min)begincase min iswhen 0|10|20|30|40|50 =>qml<="0000&qu
17、ot; when 1|11|21|31|41|51 =>qml<="0001" when 2|12|22|32|42|52 =>qml<="0010" when 3|13|23|33|43|53 =>qml<="0011" when 4|14|24|34|44|54 =>qml<="0100" when 5|15|25|35|45|55 =>qml<="0101" when 6|16|26|36|46|56 =>qml<=&
18、quot;0110" when 7|17|27|37|47|57 =>qml<="0111" when 8|18|28|38|48|58 =>qml<="1000" when 9|19|29|39|49|59 =>qml<="1001" when others=>null;end case;case min iswhen 0|1|2|3|4|5|6|7|8|9 =>qmh<="0000"when 10|11|12|13|14|15|16|17|18|1
19、9 =>qmh<="0001" when 20|21|22|23|24|25|26|27|28|29 =>qmh<="0010" when 30|31|32|33|34|35|36|37|38|39 =>qmh<="0011" when 40|41|42|43|44|45|46|47|48|49 =>qmh<="0100"when 50|51|52|53|54|55|56|57|58|59 =>qmh<="0101" when othe
20、rs=>null;end case;end process;-小時(shí)計(jì)數(shù)的十進(jìn)制轉(zhuǎn)BCD碼 process(hour)begincase hour iswhen 0|10|20 =>qhl<="0000" when 1|11|21 =>qhl<="0001" when 2|12|22 =>qhl<="0010" when 3|13|23 =>qhl<="0011" when 4|14 =>qhl<="0100" when 5|15
21、=>qhl<="0101" when 6|16 =>qhl<="0110" when 7|17 =>qhl<="0111" when 8|18 =>qhl<="1000" when 9|19 =>qhl<="1001" when others=>null;end case;case hour iswhen 0|1|2|3|4|5|6|7|8|9 =>qhh<="0000"when 10|11|12|
22、13|14|15|16|17|18|19 =>qhh<="0001" when 20|21|22|23 =>qhh<="0010" when others=>null;end case;end process;-數(shù)碼管動(dòng)態(tài)掃描計(jì)數(shù)process(clk1khz)beginif clk1khz'event and clk1khz='1' then if cnt=5 then cnt<=0;else cnt<=cnt+1;end if;end if;end process;-數(shù)碼管動(dòng)態(tài)掃描pr
23、ocess(cnt,qhh,qhl,qmh,qml,qsh,qsl,blink) begincase cnt iswhen 0=> data<=qsl or (blink(0)&blink(0)&blink(0)&blink(0);scan<="000" when 1=> data<=qsh or (blink(0)&blink(0)&blink(0)&blink(0);scan<="001" when 2=> data<=qml or (blink(1)&a
24、mp;blink(1)&blink(1)&blink(1);scan<="010" when 3=> data<=qmh or (blink(1)&blink(1)&blink(1)&blink(1);scan<="011" when 4=> data<=qhl or (blink(2)&blink(2)&blink(2)&blink(2);scan<="100" when 5=> data<=qhh or (blin
25、k(2)&blink(2)&blink(2)&blink(2);scan<="101" when others=>null;end case;end process;-七段譯碼process(data)begincase data iswhen"0000"=>seg7<="11111100"when"0001"=>seg7<="01100000" when"0010"=>seg7<="11011010" when"0011"=>seg7<="11110010" when"0100"=>seg7<="01100110" when"0
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025至2030年中國分線筒數(shù)據(jù)監(jiān)測研究報(bào)告
- 2025年財(cái)務(wù)專用打印機(jī)項(xiàng)目可行性研究報(bào)告
- 2025年玉米葡萄糖母液項(xiàng)目可行性研究報(bào)告
- 2025年水質(zhì)測試劑項(xiàng)目可行性研究報(bào)告
- 2025年木皮粘合劑項(xiàng)目可行性研究報(bào)告
- 2025年中國茶籽餅市場調(diào)查研究報(bào)告
- 2025年中國汽車特種橡膠市場調(diào)查研究報(bào)告
- 2025至2030年?duì)C金烙糊兩用機(jī)項(xiàng)目投資價(jià)值分析報(bào)告
- 2025年中國微型預(yù)接線限位開關(guān)市場調(diào)查研究報(bào)告
- 一年級數(shù)學(xué)(上)計(jì)算題專項(xiàng)練習(xí)集錦
- CNAS實(shí)驗(yàn)室評審不符合項(xiàng)整改報(bào)告
- 農(nóng)民工考勤表(模板)
- 承臺混凝土施工技術(shù)交底
- 臥床患者更換床單-軸線翻身
- 計(jì)量基礎(chǔ)知識培訓(xùn)教材201309
- 中考英語 短文填詞、選詞填空練習(xí)
- 一汽集團(tuán)及各合資公司組織架構(gòu)
- 阿特拉斯基本擰緊技術(shù)ppt課件
- 初一至初三數(shù)學(xué)全部知識點(diǎn)
- 新課程理念下的班主任工作藝術(shù)
- (完整版)企業(yè)破產(chǎn)流程圖(四張)
評論
0/150
提交評論