EDA課程設(shè)計(jì)八位數(shù)碼管掃描顯示電路的設(shè)計(jì)_圖文_第1頁
EDA課程設(shè)計(jì)八位數(shù)碼管掃描顯示電路的設(shè)計(jì)_圖文_第2頁
EDA課程設(shè)計(jì)八位數(shù)碼管掃描顯示電路的設(shè)計(jì)_圖文_第3頁
EDA課程設(shè)計(jì)八位數(shù)碼管掃描顯示電路的設(shè)計(jì)_圖文_第4頁
EDA課程設(shè)計(jì)八位數(shù)碼管掃描顯示電路的設(shè)計(jì)_圖文_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、EDA技術(shù)及應(yīng)用課程設(shè)計(jì)報(bào)告題 目: 八位數(shù)碼管掃描顯示電路的設(shè)計(jì) 院 (系): 機(jī)電與自動(dòng)化學(xué)院 專業(yè)班級(jí): 電氣自動(dòng)化技術(shù)1001 學(xué)生姓名: 學(xué) 號(hào): 20102822018 指導(dǎo)教師: 何為 2012年 6月10日至2012年 6月23日EDA技術(shù)及應(yīng)用課程設(shè)計(jì)任務(wù)書一、設(shè)計(jì)題目八位數(shù)碼管掃描顯示電路的設(shè)計(jì)二、設(shè)計(jì)主要內(nèi)容本課題要求掌握使用Quartus II設(shè)計(jì)數(shù)字系統(tǒng)的設(shè)計(jì)思路和設(shè)計(jì)方法。學(xué)習(xí)VHDL基本邏輯電路的綜合設(shè)計(jì)應(yīng)用。掌握VHDL語言的語法規(guī)范,掌握時(shí)序電路描述方法。掌握多個(gè)數(shù)碼管動(dòng)態(tài)掃描顯示的原理及設(shè)計(jì)方法。設(shè)計(jì)一個(gè)八位數(shù)碼管共陰極動(dòng)態(tài)掃描顯示控制電路,要求顯示學(xué)生自己

2、的學(xué)號(hào)。利用實(shí)驗(yàn)室設(shè)備完成系統(tǒng)設(shè)計(jì)并進(jìn)行運(yùn)行調(diào)試。1、具體設(shè)計(jì)內(nèi)容如下:(1)靜止顯示學(xué)號(hào);(2)動(dòng)態(tài)循環(huán)顯示學(xué)號(hào)。2、提供設(shè)計(jì)報(bào)告,報(bào)告要求包括以下內(nèi)容:設(shè)計(jì)思路、設(shè)計(jì)輸入文件、設(shè)計(jì)與調(diào)試過程、模擬仿真結(jié)果和設(shè)計(jì)結(jié)論。三、原始資料1、LED顯示模塊原理LED有段碼和位碼之分,所謂段碼就是讓LED顯示出“8.”的八位數(shù)據(jù),一般情況下要通過一個(gè)譯碼電路,將輸入的4位2進(jìn)制數(shù)轉(zhuǎn)換為與LED顯示對(duì)應(yīng)的8位段碼。位碼也就是LED的顯示使能端,對(duì)于共陽級(jí)的LED而言,高電平使能。要讓8個(gè)LED同時(shí)工作,顯示數(shù)據(jù),就是要不停的循環(huán)掃描每一個(gè)LED,并在使能每一個(gè)LED的同時(shí),輸入所需顯示的數(shù)據(jù)對(duì)應(yīng)的8位段

3、碼。雖然8個(gè)LED是依次顯示,但是受視覺分辨率的影響,看到的現(xiàn)象是8個(gè)LED同時(shí)工作。多個(gè)數(shù)碼管動(dòng)態(tài)掃描顯示,是將所有數(shù)碼管的相同段并聯(lián)在一起,通過選通信號(hào)分時(shí)控制各個(gè)數(shù)碼管的公共端,循環(huán)點(diǎn)亮多個(gè)數(shù)碼管,并利用人眼的視覺暫留現(xiàn)象,只要掃描的頻率大于50Hz,將看不到閃爍現(xiàn)象。2、系統(tǒng)結(jié)構(gòu)圖信號(hào)名與芯片引腳對(duì)照表硬件資源元件引腳EP3C引腳序號(hào)電路使用說明LED數(shù)碼顯示A133該部分電路為固定電路。使用LED數(shù)碼顯示時(shí)請(qǐng)按照器件引腳分配表進(jìn)行引腳分配后再下載到芯片中。B135C136D137E138F141G142Dp12874LS138S1125S2126S31273、LED連接圖4、課程設(shè)計(jì)

4、使用設(shè)備(1)EDA及SOPC綜合實(shí)驗(yàn)平臺(tái);(2)導(dǎo)線若干;(3)PC機(jī);(4)Quartus II開發(fā)工具軟件。四、要求的設(shè)計(jì)成果(1)根據(jù)控制要求設(shè)計(jì)硬件電路原理圖。(2)編寫用于系統(tǒng)仿真的VHDL源程序。(3)系統(tǒng)仿真及驗(yàn)證結(jié)果。(4)編寫EDA技術(shù)及應(yīng)用課程設(shè)計(jì)報(bào)告,課程設(shè)計(jì)報(bào)告內(nèi)容包括: 設(shè)計(jì)方案、課程設(shè)計(jì)過程和設(shè)計(jì)思想、方法、原理。 畫出系統(tǒng)的原理圖。 VHDL語言程序及仿真波形。 參考資料、參考書及參考手冊(cè)。 其他需要說明的問題,例如操作說明、程序的調(diào)試過程、遇到的問題及解決方法、對(duì)課程設(shè)計(jì)的認(rèn)識(shí)和建議等。 可編程控制器技術(shù)課程設(shè)計(jì)報(bào)告可以手寫,也可以用電腦編排打印,報(bào)告格式按照

5、華中科技大學(xué)武昌分校課程設(shè)計(jì)管理辦法執(zhí)行。課程設(shè)計(jì)報(bào)告要求內(nèi)容正確完整,圖表清晰,敘述簡(jiǎn)明,語句通順,字?jǐn)?shù)不得少于2000漢字。 課程設(shè)計(jì)報(bào)告按封面、任務(wù)書、設(shè)計(jì)說明書、圖紙、實(shí)物照片貼頁(實(shí)物照片貼在A4復(fù)印紙上)、成績(jī)?cè)u(píng)定表的順序裝訂。五、進(jìn)程安排內(nèi)容時(shí)間下達(dá)課程設(shè)計(jì)任務(wù)書。講解課程設(shè)計(jì)的任務(wù)與要求、進(jìn)度安排、指導(dǎo)時(shí)間、注意事項(xiàng)、提供參考資料。學(xué)生到實(shí)驗(yàn)室熟悉設(shè)備。1天搜集資料、方案論證、初步設(shè)計(jì)。1天設(shè)計(jì)VHDL語言程序。2天在實(shí)驗(yàn)裝置上進(jìn)行硬件測(cè)試。3天方案優(yōu)化、總結(jié)完善、整理資料、撰寫課程設(shè)計(jì)報(bào)告。2天答辯、課程設(shè)計(jì)總結(jié)。1天共計(jì)10天(2周)六、主要參考資料1 鄭燕,赫建國(guó). 基于

6、VHDL與Quartus II軟件的可編程邏輯器件應(yīng)用于開發(fā).北京:國(guó)防工業(yè)出版社,2011.2 Altera. Pin Information for the Cyclone III EP3C10 Device. San José:Altera Corp,2008.3 潘松,黃繼業(yè).EDA技術(shù)實(shí)用教程VHDL版.北京:科學(xué)出版社,2010.指導(dǎo)教師(簽名):20 年 月 日目 錄1.課程設(shè)計(jì)題目及要求.71.1課程設(shè)計(jì)題目.71.2課程設(shè)計(jì)要求.71.3系統(tǒng)總體方案設(shè)計(jì).72. LED的工作原理.82.1 LED工作原理.82.2 LED動(dòng)態(tài)掃描顯示原理.93.系統(tǒng)設(shè)計(jì).93.1硬

7、件電路設(shè)計(jì).93.2 VHDL代碼設(shè)計(jì).94.運(yùn)行調(diào)試.,134.1時(shí)序仿真.134.2 硬件邏輯驗(yàn)證.,154.3調(diào)試結(jié)果分析.164.4 調(diào)試中出現(xiàn)的問題及解決方法.165 總結(jié).,166.參考文獻(xiàn).177. 附錄1系統(tǒng)硬件電路圖.18附錄2實(shí)物照片.191.課程設(shè)計(jì)題目及要求1.1課程設(shè)計(jì)題目八位數(shù)碼管靜態(tài)掃描顯示電路的設(shè)計(jì)1.2課程設(shè)計(jì)要求本課題要求掌握使用Quartus II設(shè)計(jì)數(shù)字系統(tǒng)的設(shè)計(jì)思路和設(shè)計(jì)方法。學(xué)習(xí)VHDL基本邏輯電路的綜合設(shè)計(jì)應(yīng)用。掌握VHDL語言的語法規(guī)范,掌握時(shí)序電路描述方法。掌握多個(gè)數(shù)碼管動(dòng)態(tài)掃描顯示的原理及設(shè)計(jì)方法。設(shè)計(jì)一個(gè)八位數(shù)碼管共陰極動(dòng)態(tài)掃描顯示控制電路

8、,要求顯示學(xué)生自己的學(xué)號(hào)。利用實(shí)驗(yàn)室設(shè)備完成系統(tǒng)設(shè)計(jì)并進(jìn)行運(yùn)行調(diào)試。1.3系統(tǒng)總體方案設(shè)計(jì)編程、下載自動(dòng)優(yōu)化布局布線適配VHDL邏輯綜合優(yōu)化生成VHDL源程序設(shè)計(jì)流程圖如下 : 首先,我們要對(duì)所要設(shè)計(jì)的 八位數(shù)碼管靜態(tài)掃描顯示電路充分理解,同時(shí)在了解了所給的硬件器材的基礎(chǔ)上需進(jìn)行“源程序的編輯和編譯” 用一定的邏輯表達(dá)手段將設(shè)計(jì)表達(dá)出來;其次要進(jìn)行“邏輯綜合” 將用一定的邏輯表達(dá)手段表達(dá)出來的設(shè)計(jì),經(jīng)過一系列的操作,分解成一系列的基本邏輯電路及對(duì)應(yīng)關(guān)系;然后要進(jìn)行“目標(biāo)器件的布線適配” 在選定的目標(biāo)器件中建立這些基本邏輯電路及對(duì)應(yīng)關(guān)系;最后,目標(biāo)器件的編程下載 將前面的軟件設(shè)計(jì)經(jīng)過編程變成具體

9、的設(shè)計(jì)系統(tǒng),同時(shí)在設(shè)計(jì)過程中要進(jìn)行有關(guān)“仿真” 模擬有關(guān)設(shè)計(jì)結(jié)果,看是否與設(shè)計(jì)構(gòu)想相符。 系統(tǒng)結(jié)構(gòu)框圖如下:硬件資源元件引腳EP3C引腳序號(hào)電路使用說明LED數(shù)碼顯示A133該部分電路為固定電路。使用LED數(shù)碼顯示時(shí)請(qǐng)按照器件引腳分配表進(jìn)行引腳分配后再下載到芯片中。B135C136D137E138F141G142Dp12874LS138S1125S2126S31272. LED的工作原理2.1 LED工作原理LED為分段式半導(dǎo)體顯示器,通常稱為七段發(fā)光二極管顯示器。下圖為七段發(fā)光二極管顯示器共陰極和共陽極的電路圖。對(duì)共陰極顯示器的公共端應(yīng)接地,給a-g輸入相應(yīng)高電平,對(duì)應(yīng)字段的發(fā)光二極管顯示十

10、進(jìn)制數(shù);對(duì)共陽極的公共端應(yīng)接+5V電源,給a-g輸入端相應(yīng)低電平,對(duì)應(yīng)字段的發(fā)光二極管也顯示十進(jìn)制數(shù)。2.2 LED動(dòng)態(tài)掃描顯示原理LED有段碼和位碼之分,所謂段碼就是讓LED顯示出“8.”的八位數(shù)據(jù),一般情況下要通過一個(gè)譯碼電路,將輸入的4位2進(jìn)制數(shù)轉(zhuǎn)換為與LED顯示對(duì)應(yīng)的8位段碼。位碼也就是LED的顯示使能端,對(duì)于共陽級(jí)的LED而言,高電平使能。要讓8個(gè)LED同時(shí)工作,顯示數(shù)據(jù),就是要不停的循環(huán)掃描每一個(gè)LED,并在使能每一個(gè)LED的同時(shí),輸入所需顯示的數(shù)據(jù)對(duì)應(yīng)的8位段碼。雖然8個(gè)LED是依次顯示,但是受視覺分辨率的影響,看到的現(xiàn)象是8個(gè)LED同時(shí)工作。多個(gè)數(shù)碼管動(dòng)態(tài)掃描顯示,是將所有數(shù)碼

11、管的相同段并聯(lián)在一起,通過選通信號(hào)分時(shí)控制各個(gè)數(shù)碼管的公共端,循環(huán)點(diǎn)亮多個(gè)數(shù)碼管,并利用人眼的視覺暫留現(xiàn)象,只要掃描的頻率大于50Hz,將看不到閃爍現(xiàn)象。3 系統(tǒng)設(shè)計(jì)3.1 硬件電路設(shè)計(jì)3.2 VHDL程序設(shè)計(jì)library ieee;entity scan_seg8 isport(clk3,clk5:in std_logic;rst: in std_logic;seg_da:out std_logic_vector(7 downto 0;seg_sel:out std_logic_vector(2 downto 0;end scan_seg8;architecture ado of scan

12、_seg8 issignal seg_buf1,seg_buf2,seg_buf3,seg_buf4,seg_buf0:std_logic_vector(3 downto 0;signal seg_buf5,seg_buf6,seg_buf7,seg_buf8:std_logic_vector(3 downto 0;signal seg_cnt:std_logic_vector(2 downto 0;signal seg_temp:std_logic_vector(3 downto 0;signal clk:std_logic;beginprocess(clk5beginif clk5'

13、;event and clk5='1' thenclk<=not clk;end if;end process;process(clk5,rstbeginif clk5' event and clk5='1' thenif clk='1' thenseg_buf1<="0010"seg_buf2<="0010"seg_buf3<="1000"seg_buf4<="0010"seg_buf5<="0000"

14、seg_buf6<="0001"seg_buf7<="0000"seg_buf8<="0010"elseseg_buf1<="1111"seg_buf2<="1111"seg_buf3<="1111"seg_buf4<="1111"seg_buf5<="1111"seg_buf6<="1000"seg_buf7<="0001"seg_bu

15、f8<="0000"end if;end if;end process;process (clk3,rstbeginif clk3' event and clk3='1' thenif rst='1' thenseg_cnt<="000"elseseg_cnt<=seg_cnt+1;end if;end if;end process;seg_sel<=seg_cnt;process(seg_cnt,seg_buf1,seg_buf2,seg_buf3,seg_buf4,seg_buf5,se

16、g_buf6,seg_buf7,seg_buf8begincase seg_cnt iswhen o"0" => seg_temp<=seg_buf1;when o"1" => seg_temp<=seg_buf2; when o"2" => seg_temp<=seg_buf3; when o"3" => seg_temp<=seg_buf4; when o"4" => seg_temp<=seg_buf5; when o"

17、5" => seg_temp<=seg_buf6;when o"6" => seg_temp<=seg_buf7; when o"7" => seg_temp<=seg_buf8;when others=> seg_temp<="XXXX"end case;end process;process(seg_tempbegincase seg_temp iswhen "0000"=> seg_da<="00111111"when

18、"0001"=> seg_da<="00000110"when "0010"=> seg_da<="01011011"when "0011"=> seg_da<="01001111"when "0100"=> seg_da<="01100110"when "0101"=> seg_da<="01101101"when "0110

19、"=> seg_da<="01111101"when "0111"=> seg_da<="00000111"when "1000"=> seg_da<="01111111"when "1001"=> seg_da<="01101111"when "1010"=> seg_da<="01110111"when "1011"=>

20、; seg_da<="01111100"when "1100"=> seg_da<="00111001"when "1101"=> seg_da<="01011110"when "1110"=> seg_da<="01111001"when "1111"=> seg_da<="00000000"when others=> null;end case;end

21、process;end architecture ado; 4 運(yùn)行調(diào)試4.1 時(shí)序仿真(1) 建立波形文件。選擇File項(xiàng)及其New,再選擇New窗中的Waveform Editer.項(xiàng),打開波形編輯窗。(2)輸入信號(hào)節(jié)點(diǎn)。在波形編輯窗的上方選擇Node項(xiàng),在下拉菜單中選擇輸入信號(hào),在彈出的窗口中首先點(diǎn)擊List鍵,這時(shí)左窗口將列出設(shè)計(jì)所以信號(hào)節(jié)點(diǎn)。由于設(shè)計(jì)者有時(shí)只需要觀察其中部分信號(hào)的波形,因此要利用中間的“=>”鍵將需要觀察的信號(hào)選到右欄中,然后點(diǎn)擊OK鍵即可將測(cè)試信號(hào)。(3)設(shè)置波形參量。波形編輯窗中已經(jīng)調(diào)入了所有節(jié)點(diǎn)信號(hào),在為編輯窗輸出信號(hào)測(cè)試前,首先設(shè)定相關(guān)的仿真參數(shù)。(4)

22、設(shè)定仿真時(shí)間寬度。選擇File項(xiàng)及其End time選項(xiàng),在End time選擇窗中選擇適當(dāng)?shù)姆抡鏁r(shí)間域,以便有足夠長(zhǎng)的觀察時(shí)間。(5)波形文件存盤。選擇File項(xiàng)及其Save as選項(xiàng),按OK鍵即可。(6)運(yùn)行仿真器,觀察分析波形。選中“processing”菜單下的“start siulation”,直到出現(xiàn)“simulation was successful”對(duì)話框。把所有的參數(shù)都設(shè)定好了之后,就可以觀察相關(guān)的波形了。4.2 硬件邏輯驗(yàn)證(1)確認(rèn)已經(jīng)打開了工程scan_seg8。(2)打開“assignments”菜單下的“pins”命令,打開引腳鎖定窗口。(3)用鼠標(biāo)雙擊“to”欄中

23、的“< > ”,再出現(xiàn)的下拉欄中選擇本工程要鎖定的端口信號(hào)名(例如clk),然后雙擊對(duì)應(yīng)的“l(fā)ocation”欄中的“< > ”,在出現(xiàn)的下拉欄中選擇對(duì)應(yīng)端口信號(hào)名的器件引腳(例如對(duì)應(yīng)clk,應(yīng)選擇29)。(4)按前面提到的引腳信息添加鎖定引腳,全部輸入后單擊工具欄上的保存按鈕,保存引腳設(shè)置。當(dāng)冒個(gè)引腳鎖定后,我們?cè)凇皌o”欄下看到該引腳將是斜體顯示的,其他未鎖定引腳則是正體顯示。保持完畢,必須再編譯適配一次,才能將引腳鎖定到最終的下載文件中,此后就可以將編譯好的sof文件下載到實(shí)驗(yàn)系統(tǒng)的FPGA中去了。4.3調(diào)試結(jié)果分析將生成的sof文件通過下載線下載到實(shí)驗(yàn)箱中,進(jìn)行相關(guān)的調(diào)試后正確顯示了自己的學(xué)號(hào)。比如我的學(xué)號(hào)為20102822018,8位數(shù)碼管顯示的內(nèi)容應(yīng)為20102822,018xxxxx。那么實(shí)驗(yàn)就已經(jīng)成功了。4.4 調(diào)試中出現(xiàn)的問題及解決方法由于是第一次做這種課程設(shè)計(jì)。所以,難免會(huì)遇到一些問題。在將程序下載到試驗(yàn)箱上時(shí),沒有能如期望那樣顯示自己的學(xué)號(hào)。然后,我又從程序出發(fā)檢查了一遍,發(fā)現(xiàn)沒有錯(cuò)誤,然后我又進(jìn)行了一次功能仿真發(fā)現(xiàn)波形圖也沒有問題。所以,我就可以大膽的排除程序錯(cuò)誤而導(dǎo)致的結(jié)果。既然程序沒有錯(cuò)誤,想必應(yīng)該是在傳輸程序的這個(gè)環(huán)節(jié)出了問題。我又從選擇的芯片入手,發(fā)現(xiàn)芯片選擇沒有

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論