南京郵電大學(xué)課程設(shè)計(jì)報(bào)告簡(jiǎn)易數(shù)字頻率計(jì)步驟詳細(xì)_第1頁(yè)
南京郵電大學(xué)課程設(shè)計(jì)報(bào)告簡(jiǎn)易數(shù)字頻率計(jì)步驟詳細(xì)_第2頁(yè)
南京郵電大學(xué)課程設(shè)計(jì)報(bào)告簡(jiǎn)易數(shù)字頻率計(jì)步驟詳細(xì)_第3頁(yè)
南京郵電大學(xué)課程設(shè)計(jì)報(bào)告簡(jiǎn)易數(shù)字頻率計(jì)步驟詳細(xì)_第4頁(yè)
南京郵電大學(xué)課程設(shè)計(jì)報(bào)告簡(jiǎn)易數(shù)字頻率計(jì)步驟詳細(xì)_第5頁(yè)
已閱讀5頁(yè),還剩25頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、目錄第一章 技術(shù)指標(biāo)1.1整體功能要求1.2系統(tǒng)結(jié)構(gòu)要求1.3電氣指標(biāo)1.4擴(kuò)展指標(biāo)1.5設(shè)計(jì)條件第二章 整體方案設(shè)計(jì)2.1 算法設(shè)計(jì)2.2 整體方框圖及原理第三章 單元電路設(shè)計(jì)3.1 時(shí)基電路設(shè)計(jì)3.2閘門(mén)電路設(shè)計(jì)3.3控制電路設(shè)計(jì)3.4 小數(shù)點(diǎn)顯示電路設(shè)計(jì)3.5整體電路圖3.6整機(jī)原件清單第四章 測(cè)試與調(diào)整4.1 時(shí)基電路的調(diào)測(cè)4.2 顯示電路的調(diào)測(cè)4-3 計(jì)數(shù)電路的調(diào)測(cè)4.4 控制電路的調(diào)測(cè)4.5 整體指標(biāo)測(cè)試第五章 設(shè)計(jì)小結(jié)5.1 設(shè)計(jì)任務(wù)完成情況5.2 問(wèn)題及改進(jìn)5.3心得體會(huì)第一章 技術(shù)指標(biāo)1. 整體功能要求頻率計(jì)主要用于測(cè)量正弦波、矩形波、三角波和尖脈沖等周期信號(hào)的頻率值。其擴(kuò)展

2、功能可以測(cè)量信號(hào)的周期和脈沖寬度。2. 系統(tǒng)結(jié)構(gòu)要求數(shù)字頻率計(jì)的整體結(jié)構(gòu)要求如圖所示。圖中被測(cè)信號(hào)為外部信號(hào),送入測(cè)量電路進(jìn)行處理、測(cè)量,檔位轉(zhuǎn)換用于選擇測(cè)試的項(xiàng)目-頻率、周期或脈寬,若測(cè)量頻率則進(jìn)一步選擇檔位。測(cè)量電路被測(cè)信號(hào) 顯示電路檔位轉(zhuǎn)換數(shù)字頻率計(jì)整體方案結(jié)構(gòu)方框圖3. 電氣指標(biāo)3.1被測(cè)信號(hào)波形:正弦波、三角波和矩形波。3.2 測(cè)量頻率范圍:分三檔:1Hz999Hz0.01kHz9.99kHz0.1kHz99.9kHz3.3 測(cè)量周期范圍:1ms1s。3.4 測(cè)量脈寬范圍:1ms1s。3.5 測(cè)量精度:顯示3位有效數(shù)字(要求分析1Hz、1kHz和999kHz的測(cè)量誤差)。3.6當(dāng)被測(cè)

3、信號(hào)的頻率超出測(cè)量范圍時(shí),報(bào)警.4.擴(kuò)展指標(biāo)要求測(cè)量頻率值時(shí),1Hz99.9kHz的精度均為+1。5.設(shè)計(jì)條件5.1 電源條件:+5V。5.2 可供選擇的元器件范圍如下表型號(hào)名稱(chēng)及功能數(shù)量CD4093具有施密特觸發(fā)功能的四2輸入與非門(mén)1片741518選1數(shù)據(jù)選擇器2片74153雙4選1數(shù)據(jù)選擇器2片7404六反向器1片4518十進(jìn)制同步加/減計(jì)數(shù)器2片7400四2輸入與非門(mén)2片CD40294位二進(jìn)制/十進(jìn)制加減計(jì)數(shù)器3片C392數(shù)碼管3片4017十進(jìn)制計(jì)數(shù)器/脈沖分配器1片45114線七段所存譯碼器/驅(qū)動(dòng)器3片74132四2輸入與非門(mén)(有施密特觸發(fā)器1片10K電位器1片電阻電容若干撥盤(pán)開(kāi)關(guān)1個(gè)

4、門(mén)電路、阻容件、發(fā)光二極管和轉(zhuǎn)換開(kāi)關(guān)等原件自定。第二章 整體方案設(shè)計(jì)2.1 算法設(shè)計(jì)頻率是周期信號(hào)每秒鐘內(nèi)所含的周期數(shù)值??筛鶕?jù)這一定義采用如圖2-1所示的算法。圖2-2是根據(jù)算法構(gòu)建的方框圖。計(jì)數(shù)電路閘門(mén)輸入電路閘門(mén)產(chǎn)生顯示電路被測(cè)信號(hào)圖2-2 頻率測(cè)量算法對(duì)應(yīng)的方框圖在測(cè)試電路中設(shè)置一個(gè)閘門(mén)產(chǎn)生電路,用于產(chǎn)生脈沖寬度為1s的閘門(mén)信號(hào)。改閘門(mén)信號(hào)控制閘門(mén)電路的導(dǎo)通與開(kāi)斷。讓被測(cè)信號(hào)送入閘門(mén)電路,當(dāng)1s閘門(mén)脈沖到來(lái)時(shí)閘門(mén)導(dǎo)通,被測(cè)信號(hào)通過(guò)閘門(mén)并到達(dá)后面的計(jì)數(shù)電路(計(jì)數(shù)電路用以計(jì)算被測(cè)輸入信號(hào)的周期數(shù)),當(dāng)1s閘門(mén)結(jié)束時(shí),閘門(mén)再次關(guān)閉,此時(shí)計(jì)數(shù)器記錄的周期個(gè)數(shù)為1s內(nèi)被測(cè)信號(hào)的周期個(gè)數(shù),即為被測(cè)

5、信號(hào)的頻率。測(cè)量頻率的誤差與閘門(mén)信號(hào)的精度直接相關(guān),因此,為保證在1s內(nèi)被測(cè)信號(hào)的周期量誤差在10 ³量級(jí),則要求閘門(mén)信號(hào)的精度為10 量級(jí)。例如,當(dāng)被測(cè)信號(hào)為1kHz時(shí),在1s的閘門(mén)脈沖期間計(jì)數(shù)器將計(jì)數(shù)1000次,由于閘門(mén)脈沖精度為10 ,閘門(mén)信號(hào)的誤差不大于0.1s,固由此造成的計(jì)數(shù)誤差不會(huì)超過(guò)1,符合5*10 ³的誤差要求。進(jìn)一步分析可知,當(dāng)被測(cè)信號(hào)頻率增高時(shí),在閘門(mén)脈沖精度不變的情況下,計(jì)數(shù)器誤差的絕對(duì)值會(huì)增大,但是相對(duì)誤差仍在5*10 ³范圍內(nèi)。2.2 整體方框圖及原理輸入電路:由于輸入的信號(hào)可以是正弦波,三角波。而后面的閘門(mén)或計(jì)數(shù)電路要求被測(cè)信號(hào)為矩形

6、波,所以需要設(shè)計(jì)一個(gè)整形電路則在測(cè)量的時(shí)候,首先通過(guò)整形電路將正弦波或者三角波轉(zhuǎn)化成矩形波。在整形之前由于不清楚被測(cè)信號(hào)的強(qiáng)弱的情況。所以在通過(guò)整形之前通過(guò)放大衰減處理。當(dāng)輸入信號(hào)電壓幅度較大時(shí),通過(guò)輸入衰減電路將電壓幅度降低。當(dāng)輸入信號(hào)電壓幅度較小時(shí),前級(jí)輸入衰減為零時(shí)若不能驅(qū)動(dòng)后面的整形電路,則調(diào)節(jié)輸入放大的增益,時(shí)被測(cè)信號(hào)得以放大。頻率測(cè)量:測(cè)量頻率的原理框圖如圖2-3.測(cè)量頻率共有3個(gè)檔位。被測(cè)信號(hào)經(jīng)整形后變?yōu)槊}沖信號(hào)(矩形波或者方波),送入閘門(mén)電路,等待時(shí)基信號(hào)的到來(lái)。時(shí)基信號(hào)由RC振蕩電路構(gòu)成一個(gè)較穩(wěn)定的多諧振蕩器,經(jīng)4093整形分頻后,產(chǎn)生一個(gè)標(biāo)準(zhǔn)的時(shí)基信號(hào),作為閘門(mén)開(kāi)通的基準(zhǔn)時(shí)

7、間。被測(cè)信號(hào)通過(guò)閘門(mén),作為計(jì)數(shù)器的時(shí)鐘信號(hào),計(jì)數(shù)器即開(kāi)始記錄時(shí)鐘的個(gè)數(shù),這樣就達(dá)到了測(cè)量頻率的目的。周期測(cè)量:測(cè)量周期的原理框圖2-4.測(cè)量周期的方法與測(cè)量頻率的方法相反,即將被測(cè)信號(hào)經(jīng)整形、二分頻電路后轉(zhuǎn)變?yōu)榉讲ㄐ盘?hào)。方波信號(hào)中的脈沖寬度恰好為被測(cè)信號(hào)的1個(gè)周期。將方波的脈寬作為閘門(mén)導(dǎo)通的時(shí)間,在閘門(mén)導(dǎo)通的時(shí)間里,計(jì)數(shù)器記錄標(biāo)準(zhǔn)時(shí)基信號(hào)通過(guò)閘門(mén)的重復(fù)周期個(gè)數(shù)。計(jì)數(shù)器累計(jì)的結(jié)果可以換算出被測(cè)信號(hào)的周期。用時(shí)間Tx來(lái)表示:Tx=NTs式中:Tx為被測(cè)信號(hào)的周期;N為計(jì)數(shù)器脈沖計(jì)數(shù)值;Ts為時(shí)基信號(hào)周期。時(shí)基電路:時(shí)基信號(hào)由4093、RC組容件構(gòu)成多諧振蕩器,其兩個(gè)暫態(tài)時(shí)間分別為T(mén)1=0.7(Ra

8、+Rb)C T2=0.7RbC重復(fù)周期為 T=T1+T2 。由于被測(cè)信號(hào)范圍為1Hz1MHz,如果只采用一種閘門(mén)脈沖信號(hào),則只能是10s脈沖寬度的閘門(mén)信號(hào),若被測(cè)信號(hào)為較高頻率,計(jì)數(shù)電路的位數(shù)要很多,而且測(cè)量時(shí)間過(guò)長(zhǎng)會(huì)給用戶(hù)帶來(lái)不便,所以可將頻率范圍設(shè)為幾檔: 1Hz999Hz檔采用1s閘門(mén)脈寬;0.01kHz9.99kHz檔采用0.1s閘門(mén)脈寬;0.1kHz99.9kHz檔采用0.01s閘門(mén)脈寬。多諧振蕩器經(jīng)二級(jí)10分頻電路后,可提取因檔位變化所需的閘門(mén)時(shí)間1ms、0.1ms、0.01ms。閘門(mén)時(shí)間要求非常準(zhǔn)確,它直接影響到測(cè)量精度,在要求高精度、高穩(wěn)定度的場(chǎng)合,通常用晶體振蕩器作為標(biāo)準(zhǔn)時(shí)基

9、信號(hào)。在實(shí)驗(yàn)中我們采用的就是前一種方案。在電路中引進(jìn)電位器來(lái)調(diào)節(jié)振蕩器產(chǎn)生的頻率。使得能夠產(chǎn)生10kHz的信號(hào)。這對(duì)后面的測(cè)量精度起到?jīng)Q定性的作用。計(jì)數(shù)顯示電路:在閘門(mén)電路導(dǎo)通的情況下,開(kāi)始計(jì)數(shù)被測(cè)信號(hào)中有多少個(gè)上升沿。在計(jì)數(shù)的時(shí)候數(shù)碼管不顯示數(shù)字。當(dāng)計(jì)數(shù)完成后,此時(shí)要使數(shù)碼管顯示計(jì)數(shù)完成后的數(shù)字??刂齐娐罚嚎刂齐娐防锩嬉a(chǎn)生計(jì)數(shù)清零信號(hào)和鎖存控制信號(hào)。控制電路工作波形的示意圖如圖2-5.第三章 單元電路設(shè)計(jì)3.1 時(shí)基電路設(shè)計(jì)圖3-1 時(shí)基電路與分頻電路它由兩部分組成: 如圖3-1所示,第一部分為4093組成的振蕩器(即脈沖產(chǎn)生電路),由于標(biāo)準(zhǔn)時(shí)基信號(hào)即1KHz在本電路設(shè)計(jì)中產(chǎn)生于4518的

10、第一次分頻,所以由RC振蕩電路與4093需要產(chǎn)生10KHz的方波,我們通過(guò)電位器調(diào)節(jié)并用示波器觀測(cè)可以基本產(chǎn)生10KHz的標(biāo)準(zhǔn)信號(hào)。第二部分為分頻電路,主要由4518組成(4518的管腳圖,功能表及波形圖詳見(jiàn)附錄),因?yàn)闃?biāo)準(zhǔn)時(shí)基信號(hào)是1000Hz的脈沖,也就是其周期是0.001s,而時(shí)基信號(hào)要求為0.01s、0.1s和1s。4518為雙BCD加計(jì)數(shù)器,由兩個(gè)相同的同步4級(jí)計(jì)數(shù)器構(gòu)成,計(jì)數(shù)器級(jí)為D型觸發(fā)器,具有內(nèi)部可交換CP和EN線,用于在時(shí)鐘上升沿或下降沿加計(jì)數(shù),在單個(gè)運(yùn)算中,EN輸入保持高電平,且在CP上升沿進(jìn)位,CR線為高電平時(shí)清零。計(jì)數(shù)器在脈動(dòng)模式可級(jí)聯(lián),通過(guò)將Q³連接至下一計(jì)

11、數(shù)器的EN輸入端可實(shí)現(xiàn)級(jí)聯(lián),同時(shí)后者的CP輸入保持低電平。如圖3-2所示,4093與RC振蕩電路產(chǎn)生的10kHz的信號(hào)經(jīng)過(guò)四次分頻后得到4個(gè)頻率分別為1KHz、100Hz、10Hz和1Hz的方波。圖3-2 10kHz的方波分頻后波形圖3.2閘門(mén)電路設(shè)計(jì) 如圖3-3所示,通過(guò)74151數(shù)據(jù)選擇器來(lái)選擇所要的10分頻、100分頻和1000分頻。74151的CBA接撥盤(pán)開(kāi)關(guān)來(lái)對(duì)選頻進(jìn)行控制。當(dāng)CBA輸入001時(shí)74151輸出的方波的頻率是1Hz;當(dāng)CBA輸入010時(shí)74151輸出的方波的頻率是10Hz;當(dāng)CBA輸入011時(shí)74151輸出的方波的頻率是100Hz;這里我們以輸出100Hz的信號(hào)為例。分

12、析其通過(guò)4017后出現(xiàn)的波形圖(4017的管腳圖、功能表和波形圖詳見(jiàn)附錄)。4017是5位計(jì)數(shù)器,具有10個(gè)譯碼輸出端,CP,CR,INH輸入端,時(shí)鐘輸入端的施密特觸發(fā)器具有脈沖整形功能,對(duì)輸入時(shí)鐘脈沖上升和下降時(shí)間無(wú)限制,INH為低電平時(shí),計(jì)數(shù)器清零。100Hz的方波作為4017的CP端,如圖3-3,信號(hào)通過(guò)4017后,從Q1輸出的信號(hào)高電平的脈寬剛好為100Hz信號(hào)的一個(gè)周期,相當(dāng)于將原信號(hào)二分頻。也就是Q1的輸出信號(hào)高電平持續(xù)的時(shí)間為10ms,那么這個(gè)信號(hào)可以用來(lái)導(dǎo)通閘門(mén)和關(guān)閉閘門(mén)。圖3-3 閘門(mén)電路 圖3-4 4017輸入100Hz信號(hào)和Q1、Q2的信號(hào)波形3.3控制電路設(shè)計(jì)通過(guò)分析我

13、們知道控制電路這部分是本實(shí)驗(yàn)的最為關(guān)鍵和難搞的模塊。其中控制模塊里面又有幾個(gè)小的模塊,通過(guò)控制選擇所要測(cè)量的東西。比如頻率,周期,脈寬。同時(shí)控制電路還要產(chǎn)生4029預(yù)置數(shù)信號(hào)(也可以稱(chēng)為清零信號(hào),因?yàn)楸驹O(shè)計(jì)預(yù)置數(shù)為零,可以達(dá)到清零的效果),4511的鎖存信號(hào)。 圖3-5 控制電路設(shè)計(jì)控制電路。計(jì)數(shù)電路和譯碼顯示電路詳細(xì)的電路如圖3-5所示。當(dāng)74153的CBA接001、010、011的時(shí)候電路實(shí)現(xiàn)的是測(cè)量被測(cè)信號(hào)頻率的功能。當(dāng)74153的CBA接100的時(shí)候?qū)崿F(xiàn)的是測(cè)量被測(cè)信號(hào)周期的功能。當(dāng)74153的CBA接101的時(shí)候?qū)崿F(xiàn)的是測(cè)量被測(cè)信號(hào)脈寬的功能。圖3-6是測(cè)試被測(cè)信號(hào)頻率時(shí)的計(jì)數(shù)器CP

14、信號(hào)波形、PE端輸入波形、4511鎖存端波形圖。其中第一個(gè)波形是PE的波形圖、第二個(gè)是CP端輸入信號(hào)的波形圖、第三個(gè)是鎖存信號(hào)。PE是高電平的時(shí)候計(jì)數(shù)器預(yù)置數(shù)為零,可以達(dá)到清零的效果。根據(jù)圖得知在計(jì)數(shù)之前對(duì)計(jì)數(shù)器進(jìn)行了預(yù)置數(shù)為零即起到清零作用。根據(jù)4511(4511的管腳圖和功能表詳見(jiàn)附錄)的功能表可以知道,當(dāng)鎖存信號(hào)為高電平的時(shí)候,4511不送數(shù)。如果不讓4511鎖存的話,那么計(jì)數(shù)器輸出的信號(hào)一直往數(shù)碼管里送。由于在計(jì)數(shù),那么數(shù)碼管上面一直顯示數(shù)字,由于頻率大,那么會(huì)發(fā)現(xiàn)數(shù)字一直在閃動(dòng)。那么通過(guò)鎖存信號(hào)可以實(shí)現(xiàn)計(jì)數(shù)的時(shí)候讓數(shù)碼管不顯示,計(jì)完數(shù)后,讓數(shù)碼管顯示計(jì)數(shù)器計(jì)到的數(shù)字的功能。根據(jù)圖可以

15、看到,當(dāng)CP輸入的一個(gè)周期信號(hào)通過(guò)之后,此時(shí)4511的LE端的輸入信號(hào)也剛好到達(dá)下降沿。圖3-6 4029計(jì)數(shù)器PE信號(hào)波形、CP端輸入波形、4511鎖存端波形圖圖3-6,是測(cè)量被測(cè)信號(hào)頻率是500Hz的頻率的圖。時(shí)基電路產(chǎn)圖中電路10K的信號(hào)經(jīng)過(guò)分頻后選擇的是100Hz的信號(hào)為基準(zhǔn)信號(hào)。那么這個(gè)電路實(shí)現(xiàn)測(cè)量頻率的范圍是0.01KHz9.99KHz的信號(hào)的頻率。同時(shí)控制電路也實(shí)現(xiàn)了對(duì)被測(cè)信號(hào)的周期和脈寬的測(cè)量。當(dāng)CBA的取一定的值,電路實(shí)現(xiàn)一定的測(cè)量功能。3.4 小數(shù)點(diǎn)顯示電路設(shè)計(jì)在測(cè)量頻率的時(shí)候,由于分3個(gè)檔位,那么在不同的檔的時(shí)候,小數(shù)點(diǎn)也要跟著顯示。比如CBA接011測(cè)量頻率的時(shí)候,它所

16、測(cè)信號(hào)頻率的范圍是0.1KHz99.9KHz,那么在顯示的時(shí)候三個(gè)數(shù)碼管的第二個(gè)數(shù)碼管的小數(shù)點(diǎn)要顯示。CBA接010測(cè)量頻率的時(shí)候,它所測(cè)信號(hào)頻率的范圍是0.01KHz9.99KHz,那么顯示的時(shí)候,最高位的數(shù)碼管的小數(shù)點(diǎn)也要顯示。對(duì)比一下兩個(gè)輸入的高低電平可以發(fā)現(xiàn)CA位不一樣,顯示的小數(shù)點(diǎn)就不一樣。我們可以想到可以通過(guò)74153數(shù)據(jù)選擇器來(lái)實(shí)現(xiàn)小數(shù)點(diǎn)顯示的問(wèn)題。具體的實(shí)現(xiàn)方法見(jiàn)圖3-7所示。 圖3-7小數(shù)點(diǎn)顯示電路(9端接最高位小數(shù)點(diǎn),7端接次高位小數(shù)點(diǎn))3.5整體電路圖圖3-8 整體電路圖3.6整機(jī)原件清單元件數(shù)量元件數(shù)量CD4093一片7404一片10K三個(gè)4518兩片7400兩個(gè)個(gè)撥盤(pán)

17、開(kāi)關(guān)一個(gè)10K電位器一個(gè)4017一片74151一片CD4029三片74153兩片4511三片LED燈一個(gè)數(shù)碼管三個(gè)0.01F電容兩個(gè)保護(hù)電阻四個(gè)導(dǎo)線若干5V直流電源一個(gè)第四章 測(cè)試與調(diào)整4.1 時(shí)基電路的調(diào)測(cè)首先調(diào)測(cè)時(shí)基信號(hào),通過(guò)4029、RC阻容件構(gòu)成多諧振蕩器,把振蕩器產(chǎn)生的信號(hào)接到示波器中,調(diào)節(jié)電位器使得輸出的信號(hào)的頻率為10KHz。同時(shí)輸出信號(hào)的頻率也要穩(wěn)定。測(cè)完后,下面測(cè)試分頻后的頻率,分別接一級(jí)分頻、二級(jí)分頻、三級(jí)分頻、四級(jí)分頻的輸出端,測(cè)試其信號(hào)。測(cè)出來(lái)的信號(hào)頻率和理論值很接近。由于是將示波器的測(cè)量端分別測(cè)量每個(gè)原件的輸出端。下面我在實(shí)驗(yàn)中把74151和撥盤(pán)開(kāi)關(guān)接好,通過(guò)撥盤(pán)開(kāi)關(guān)

18、來(lái)控制74151的輸出信號(hào),把示波器的測(cè)量端接74151的輸出端。在CBA取三個(gè)不同的高低電平時(shí),得到三個(gè)不同頻率的信號(hào)。具體的波形圖見(jiàn)圖3-2所示。這里就不再重復(fù)了。這樣,時(shí)基電路這部分就測(cè)試完畢,沒(méi)有問(wèn)題了。4.2 顯示電路的調(diào)測(cè)由于在設(shè)計(jì)過(guò)程中,控制電路這部分比較難,要花時(shí)間在上面設(shè)計(jì)電路。為了節(jié)約時(shí)間,我在課程設(shè)計(jì)的過(guò)程中就先連接后面的顯示電路和計(jì)數(shù)電路。首先是對(duì)數(shù)碼管(數(shù)碼管的管腳圖和功能表詳見(jiàn)附錄)的顯示進(jìn)行了調(diào)測(cè)。圖4-1 顯示電路調(diào)測(cè)連接圖如圖4-1所示接好顯示電路(這里就只給出一個(gè)數(shù)碼管說(shuō)明一下)。然后將4511的5端接地。然后給4511的6217端分別接高低電平,數(shù)碼管就會(huì)

19、顯示對(duì)應(yīng)的數(shù)字。比如6217分別接1000,那么數(shù)碼管就對(duì)應(yīng)顯示數(shù)字8.同樣,還有兩個(gè)數(shù)碼管也按上圖接好。接好后的測(cè)試方法同上。這樣,顯示電路也就搞好了。4-3 計(jì)數(shù)電路的調(diào)測(cè)圖4-2 計(jì)數(shù)電路調(diào)測(cè)連接圖計(jì)數(shù)電路按照?qǐng)D4-2所示連接好,將4029的PE端接低電平,3個(gè)4029級(jí)聯(lián),構(gòu)成異步十進(jìn)制計(jì)數(shù)器。同時(shí)4511的5端要接0,在調(diào)測(cè)的過(guò)程中,我忘記將其置零,導(dǎo)致在后面數(shù)碼管一直不顯示數(shù)字。接好后,給最低位的4029一個(gè)CP信號(hào)。讓函數(shù)信號(hào)發(fā)生器產(chǎn)生一個(gè)頻率適當(dāng)?shù)姆讲?。這樣,計(jì)數(shù)器就開(kāi)始計(jì)數(shù)了。數(shù)碼管從000999顯示。計(jì)數(shù)電路就這樣搞好了。在調(diào)測(cè)的過(guò)程中,4029的PE端,4511的5端都是

20、用臨時(shí)的線連接。因?yàn)樵诤竺孢@些端都是連接控制電路產(chǎn)生預(yù)置數(shù)零、鎖存信號(hào)的輸出端。4.4 控制電路的調(diào)測(cè) 圖4-3 控制部分電路控制電路的連接圖如圖4-3所示,其中兩個(gè)74153的BA端分別接了01,4017的輸入的CP的頻率是100Hz,此時(shí)的功能是測(cè)量范圍是0.1KHz99.9KHz。圖4-4 控制電路的三路主要信號(hào)(置數(shù)端、CP端、鎖存端信號(hào))由調(diào)試波形可以知道電路設(shè)計(jì)是正確的。這部分是測(cè)量頻率的功能。同時(shí)控制電路還要實(shí)現(xiàn)測(cè)量周期和脈寬的功能,在前面已經(jīng)說(shuō)明的如何測(cè)量周期的算法,它的方法剛好和測(cè)量頻率的相反,測(cè)頻率的時(shí)候時(shí)基信號(hào)作為閘門(mén)信號(hào),而測(cè)量周期是將被測(cè)信號(hào)作為圖4-5 測(cè)量周期連接

21、圖(部分)測(cè)量周期的時(shí)候只需將74153的CBA置100就可以實(shí)現(xiàn)了。當(dāng)74153的CBA為100的時(shí)候,74153的1Y輸出的信號(hào)為1KHz的標(biāo)準(zhǔn)時(shí)基信號(hào)與4017輸出的信號(hào)相與的結(jié)果,它產(chǎn)生的是信號(hào)是被截取為一個(gè)閘門(mén)寬度的方波,這個(gè)信號(hào)作為4029的CP信號(hào)。根據(jù)圖4-5可以知道74151的輸出的信號(hào)是被測(cè)信號(hào)fx,經(jīng)過(guò)4017后的輸出信號(hào)信號(hào)Q0、Q1、Q2的脈寬剛好為fx的周期,這個(gè)原理在前面測(cè)量頻率部分已經(jīng)介紹過(guò),這里就不再重復(fù)了。其中Q0作為4029的PE的預(yù)置數(shù)端信號(hào),在Q0為高電平時(shí),4029的四個(gè)輸入端預(yù)置數(shù)為零,表示計(jì)數(shù)器從零開(kāi)始計(jì)數(shù);Q2信號(hào)非一下,就可以作為4511的鎖

22、存信號(hào),時(shí)候計(jì)數(shù)器計(jì)數(shù)。PT在閘門(mén)導(dǎo)通的時(shí)間,即PT一直為高電平的時(shí)候,計(jì)數(shù)器記錄標(biāo)準(zhǔn)時(shí)基信號(hào)通過(guò)閘門(mén)的重復(fù)周期個(gè)數(shù)。計(jì)數(shù)器累計(jì)的結(jié)果可以換算出被測(cè)信號(hào)的周期,用時(shí)間Tx來(lái)表示:Tx=NTs式中:Tx為被測(cè)信號(hào)的周期;N為計(jì)數(shù)器脈沖計(jì)數(shù)值;Ts為時(shí)鐘信號(hào)周期。根據(jù)Ts=1ms,N=50.可以知道被測(cè)信號(hào)的周期為50ms,在電路中我們給出被測(cè)信號(hào)的頻率為20Hz。那么測(cè)量的結(jié)果和理論值是一樣的。以上是對(duì)被測(cè)信號(hào)周期測(cè)量的部分。調(diào)測(cè)過(guò)程中電路的輸入輸出波形圖見(jiàn)圖4-6,其中的控制計(jì)數(shù)器計(jì)數(shù)的原理和測(cè)量頻率所用的方法一樣。 圖4-7測(cè)量周期 波形分別為被測(cè)信號(hào)、4029PE信號(hào)、4029CP信號(hào)、4

23、511鎖存信號(hào)最后是測(cè)量脈寬部分的調(diào)測(cè)。測(cè)量脈沖寬度的原理與測(cè)量周期的原理十分相似。所不同的是,它直接用整形后的脈沖信號(hào)的寬度tw作為閘門(mén)的導(dǎo)通時(shí)間。在閘門(mén)導(dǎo)通的時(shí)間內(nèi),測(cè)量時(shí)基信號(hào)的重復(fù)周期,并由式tw=NTs得出脈沖寬度值。如下圖4-7所示,與圖4-6對(duì)比一下,會(huì)發(fā)現(xiàn)CP端信號(hào)的脈寬為4-6圖中對(duì)應(yīng)的波形脈寬的一半。那么最終數(shù)碼管顯示的數(shù)字應(yīng)該是25.實(shí)際的測(cè)量值也與理論值非常接近。那么到此,整個(gè)控制電路部分實(shí)現(xiàn)的控制功能都已經(jīng)實(shí)現(xiàn)了。到這里,會(huì)發(fā)現(xiàn)控制電路這個(gè)模塊在這個(gè)課程設(shè)計(jì)中占的分量。也是整個(gè)設(shè)計(jì)過(guò)程的精華所在。把控制電路這部分搞定,那么本次的課程設(shè)計(jì)也就基本完成了。 圖4-7 測(cè)量

24、脈寬 波形分別為被測(cè)信號(hào)、4029PE信號(hào)、4029CP信號(hào)、4511鎖存信號(hào)4.5 整體指標(biāo)測(cè)試被測(cè)信號(hào)頻率周期脈寬的測(cè)量檔位 測(cè)量范圍 被測(cè)信號(hào)頻率 測(cè)量值001 1Hz999Hz 200Hz 201Hz 011 0.1kHz99.9kHz 12.3KHz 12.3KHz 010 0.01KHz9.99KHz 3.45KHz 3.46KHz100 測(cè)量周期 20Hz 50ms101 測(cè)量脈寬 20Hz 25ms第五章 設(shè)計(jì)小結(jié)5.1 設(shè)計(jì)任務(wù)完成情況通過(guò)為期兩周的課程設(shè)計(jì),在老師的指點(diǎn)與幫助下我順利的完成了此次設(shè)計(jì)。在開(kāi)始設(shè)計(jì)之前,我根據(jù)資料提供的算法與芯片用途用proteus仿真軟件很快

25、把計(jì)數(shù)部分與顯示部分搞定,但控制部分暫時(shí)還不太清楚。為了能夠及時(shí)跟上進(jìn)度,我先把計(jì)數(shù)與顯示部分在面包板上硬件實(shí)現(xiàn),確認(rèn)這部分模塊沒(méi)有問(wèn)題后,我用了兩天時(shí)間來(lái)研究設(shè)計(jì)的控制部分,最后經(jīng)過(guò)多次仿真與調(diào)試,確定了方案可行性,進(jìn)而有條不紊的在硬件上實(shí)現(xiàn)控制模塊的各部分功能,在最后硬件調(diào)試的時(shí)候很快就實(shí)現(xiàn)了整個(gè)設(shè)計(jì)的所有功能,而且很高興測(cè)量的誤差很小,尤其是在測(cè)量較小頻率時(shí),測(cè)量結(jié)果可以與函數(shù)發(fā)生器同步。5.2 問(wèn)題及改進(jìn)本次設(shè)計(jì)雖然比較順利,但其中出現(xiàn)的許多小問(wèn)題還是能讓自己值得反思。最突出的是我的板面設(shè)計(jì)問(wèn)題,整個(gè)板面壓線太多,影響美觀,是自己沒(méi)有注重全局把握而造成,導(dǎo)致在后面連接控制線的時(shí)候整個(gè)版

26、面顯得更加凌亂,以后再做類(lèi)似設(shè)計(jì)的時(shí)候一定要做一個(gè)全局的大概模型,這樣才會(huì)達(dá)到完美效果;其次,產(chǎn)生基準(zhǔn)信號(hào)的振蕩電路也可以由555定時(shí)器設(shè)計(jì),如果想要產(chǎn)生更加精確穩(wěn)定的信號(hào)可以用晶振電路來(lái)設(shè)計(jì),本次設(shè)計(jì)用了學(xué)校提供的4093芯片,它由四個(gè)2輸入端施密特觸發(fā)器組成,對(duì)于本設(shè)計(jì)來(lái)說(shuō)4093也足夠用了;還有在計(jì)數(shù)器部分,也可以使用我們更加熟悉的74160芯片,學(xué)校給我們換成了4029芯片,他們兩個(gè)最大的區(qū)別在于4029沒(méi)有清零端,而這個(gè)清零端正是控制部分需要的重要信號(hào)輸入端,如果沒(méi)有的話那么就無(wú)法測(cè)量出信號(hào)頻率、周期、脈寬,但是別忘了4029同樣有預(yù)置數(shù)端口,在4029的四個(gè)輸入端接地同時(shí)在預(yù)置端口

27、PE高電平的時(shí)候表示計(jì)數(shù)器從零開(kāi)始,同樣達(dá)到了清零的效果,個(gè)人認(rèn)為這是整個(gè)設(shè)計(jì)的關(guān)鍵部分之一,不僅考察你是否了解整個(gè)設(shè)計(jì)算法,還要把設(shè)計(jì)所給的芯片功能精通掌握;在分頻電路中,對(duì)于這部分模塊我們可以很好的利用示波器進(jìn)行檢測(cè),因?yàn)樗男盘?hào)是一級(jí)接一級(jí)的,很有規(guī)律,在測(cè)試開(kāi)關(guān)與4017芯片好壞時(shí)候我們都可以利用其信號(hào)規(guī)律去排查線路原因;最后在主控部分,我想了很長(zhǎng)時(shí)間外加參考資料才把其中原理摸清楚,這個(gè)部分是整個(gè)設(shè)計(jì)的核心,他就好比電腦的CPU,把送進(jìn)來(lái)的信號(hào)處理之后再送出去,如果在這個(gè)部分模棱兩可的話很容易導(dǎo)致全盤(pán)崩潰,而且各種問(wèn)題基本都是在這里產(chǎn)生,在這里我主要利用了一片4017與兩片74153進(jìn)

28、行控制,在測(cè)量頻率的時(shí)候,通過(guò)4017的信號(hào)具有脈寬為基準(zhǔn)信號(hào)的一個(gè)周期特點(diǎn),選取其中Q1的輸出信號(hào)與被測(cè)信號(hào)進(jìn)行相與,可以得到具有閘門(mén)寬度的被測(cè)信號(hào),然后當(dāng)Q0通過(guò)4029,即對(duì)其進(jìn)行預(yù)置數(shù)零后,具有一個(gè)閘門(mén)寬度的被測(cè)信號(hào)當(dāng)作CP進(jìn)入4029,4029在CP作用下進(jìn)行上升沿計(jì)數(shù),此時(shí)4511的鎖存端為Q3的非,處于鎖存狀態(tài),計(jì)數(shù)完成后,4511解除鎖存,把信號(hào)送給譯碼器,同時(shí)數(shù)碼管被點(diǎn)亮,同理,測(cè)量周期與脈寬也是大同小異,這個(gè)部分的設(shè)計(jì)方法不僅僅只有一個(gè),我們可以根據(jù)這幾個(gè)主控信號(hào)的特點(diǎn)選用合適的芯片,令其產(chǎn)生我們所需要的信號(hào),也會(huì)很好的得到我們所需,由于個(gè)人能力有限,僅僅搞清楚這一個(gè)方法,

29、但也同樣收獲很多。本次設(shè)計(jì)沒(méi)有設(shè)計(jì)被測(cè)信號(hào)的整形電路,降低了難度,如果要設(shè)計(jì)的話還需用到施密特觸發(fā)器來(lái)進(jìn)行整形,如果有足夠的時(shí)間的話,我想這個(gè)部分應(yīng)該不算太難。在最終驗(yàn)收的時(shí)候數(shù)碼管顯示與實(shí)際相差很大,后來(lái)陳老師發(fā)現(xiàn)我沒(méi)有把被測(cè)信號(hào)調(diào)為方波,導(dǎo)致進(jìn)入的信號(hào)脈寬不定,設(shè)置為方波后很快就好了,這也暴露了我的理論知識(shí)不足,被測(cè)信號(hào)本來(lái)就應(yīng)該被整形為方波,而我卻天真的認(rèn)為正弦波也可以。5.3心得體會(huì) 雖然課程設(shè)計(jì)僅僅只有兩周的時(shí)間,但是我在實(shí)驗(yàn)室的這十幾天收獲了課堂上所不能學(xué)到的知識(shí),從原理電路的proteus進(jìn)行仿真,再到硬件上進(jìn)行面包板的設(shè)計(jì),我真真切切的體會(huì)到了做一個(gè)完整設(shè)計(jì)的過(guò)程。這兩個(gè)禮拜中

30、,有排查不出問(wèn)題的困惑與急躁,也有柳暗花明時(shí)的喜悅與感慨,有與同學(xué)們一起設(shè)計(jì)時(shí)的快樂(lè)與新鮮,也有與老師討論問(wèn)題時(shí)的頓悟與激動(dòng)、整個(gè)過(guò)程非常有意義,不僅加深了我們對(duì)過(guò)去所學(xué)知識(shí)的理解,也使同學(xué)們之間建立了互幫互助的團(tuán)結(jié)情感,這讓我們沒(méi)有浪費(fèi)在實(shí)驗(yàn)室的每一秒每一刻。 最后非常感謝陳老師的悉心指導(dǎo),每次有問(wèn)題時(shí)陳老師都會(huì)詳細(xì)解答原因,這讓我收獲頗豐,非常感謝。附錄CC 4518十進(jìn)制同步加/減計(jì)數(shù)器簡(jiǎn)要說(shuō)明CC4518 為雙 BCD 加計(jì)數(shù)器,該器件由兩個(gè)相同的同步 4 級(jí)計(jì)數(shù)器組成。計(jì)數(shù)器級(jí)為 D 型觸發(fā)器。具有內(nèi)部可交換 CP 和 EN 線,用于在時(shí)鐘上升沿或下降沿加計(jì)數(shù)。在單個(gè)單元運(yùn)算中,EN 輸入保持高電平,且在 CP 上升沿進(jìn)位。CR 線為高電平時(shí),計(jì)數(shù)器清零。計(jì)數(shù)器在脈動(dòng)模式可級(jí)聯(lián),通過(guò)將 Q3 連接至下一計(jì)數(shù)器的 EN 輸入端可實(shí)現(xiàn)級(jí)聯(lián)。同時(shí)后者的 CP輸入保持低電平。4

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論