計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告_第1頁(yè)
計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告_第2頁(yè)
計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告_第3頁(yè)
已閱讀5頁(yè),還剩26頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告姓名:專業(yè):計(jì)算機(jī)科學(xué)與技術(shù)學(xué)號(hào):計(jì)算機(jī)組成原理實(shí)驗(yàn)(一)實(shí)驗(yàn)題目:時(shí)標(biāo)系統(tǒng)的設(shè)置和組合成績(jī):一、實(shí)驗(yàn)?zāi)康?、了解時(shí)標(biāo)系統(tǒng)的作用2、會(huì)設(shè)計(jì)、組裝簡(jiǎn)單的時(shí)標(biāo)發(fā)生器二、實(shí)驗(yàn)內(nèi)容參照時(shí)標(biāo)系統(tǒng)的設(shè)計(jì)方法,用組合邏輯方法設(shè)計(jì)一個(gè)簡(jiǎn)單的節(jié)拍脈沖發(fā)生器,產(chǎn)生圖1-6所示的節(jié)拍脈沖,并用單脈沖驗(yàn)證設(shè)計(jì)的正確性。在實(shí)驗(yàn)報(bào)告中畫出完整電路,寫出*、W0和Ni的表達(dá)式。1_1;Ii1 ;11 1 力1 :111111Wlnn1r1w1111iMl1n圖1-6 簡(jiǎn)單的節(jié)拍脈沖發(fā)生器一周期的波形設(shè)計(jì)提示:1、由波形圖求出節(jié)拍脈沖 Wi和W。的表達(dá)式,進(jìn)而組合成 Ni的表達(dá)式。2、注意節(jié)拍電平T1和

2、To的翻轉(zhuǎn)時(shí)刻應(yīng)在 Mo下降沿與M的上升沿同時(shí)出現(xiàn)的時(shí)刻。3、注意D觸發(fā)器的觸發(fā)翻轉(zhuǎn)要求。三、實(shí)驗(yàn)儀器及器材1、計(jì)算機(jī)組成原理實(shí)驗(yàn)臺(tái)和 +5V直流穩(wěn)壓電源2、集成電路由附錄 A “集成電路清單”內(nèi)選用啟停電路和節(jié)拍脈沖發(fā)生器三部分組成成,結(jié)構(gòu)如圖四、實(shí)驗(yàn)電路原理(實(shí)驗(yàn)電路原理圖) 時(shí)標(biāo)系統(tǒng)主要由時(shí)鐘脈沖發(fā)生器、1-1所示。m wi wo節(jié)Ifl冊(cè)神發(fā)生器啟停申路圖1-1時(shí)標(biāo)系統(tǒng)組成1、時(shí)鐘脈沖發(fā)生器主要由振蕩電路、 分頻電路組成,其作用是產(chǎn)生一定頻率的時(shí)鐘脈沖,作為計(jì)算機(jī)中基準(zhǔn)時(shí)鐘信號(hào)。如圖1-2所示。圖1-2時(shí)鐘脈沖發(fā)生器組成2、啟停電路計(jì)算機(jī)是靠非常嚴(yán)格的節(jié)拍脈沖,按時(shí)間的先后次序一步一步

3、地控制各部件工作的,以,機(jī)器啟停的標(biāo)志是有無節(jié)拍脈沖,而控制節(jié)拍脈沖按一定的時(shí)序發(fā)生和停止,不能簡(jiǎn)單心動(dòng)停機(jī)tirwrTK)刑腳片1豐止占啟動(dòng)5I巾用'劇fl R'K?!1 mn_TLTL rmJL地用電源開關(guān)來實(shí)現(xiàn)。如圖1-3所示。H 汕耿沖才_(tái)n_n_ hl. K'CJJ |I丄幗廉沖 > _rLn_n_ j-ltlI圖1-3簡(jiǎn)單的啟停電路為了使機(jī)器可靠地工作, 要求啟停電路在機(jī)器啟動(dòng)或停機(jī)時(shí),保證每次從規(guī)定的第一個(gè)脈沖開始啟動(dòng),到最后一個(gè)脈沖結(jié)束才停機(jī),并且必須保證第一個(gè)和最后一個(gè)脈沖的波形完整。如圖1-4所示。工礫中a4®<A圖1-4利用維

4、持阻塞原理的啟停電路3、節(jié)拍脈沖發(fā)生器節(jié)拍脈沖發(fā)生器的作用是產(chǎn)生一序列的節(jié)拍電平和工作脈沖。節(jié)拍電平是保證計(jì)算機(jī)微操作的時(shí)序性,工作脈沖是各寄存器數(shù)據(jù)的打入脈沖。本課程整機(jī)實(shí)驗(yàn)中一個(gè)周期的節(jié)拍脈沖波形如圖1-5所示。其中的工作脈沖 mm8,由節(jié)拍電平QiQ4與時(shí)鐘脈沖m按組合邏 輯的方法組合得到,表達(dá)見圖1-5中右側(cè)列表所示。隔 12 a 45«7$9 10 11 It 13 14 15m TTTrnlrllrLTljrrL L11 IFr11111in_:l1111n11*11III11111n:nn11r111111rn :1111kIm :11興2 Htl 酬血占 JH弼=幺2

5、頁(yè)忑&*7 "fi Qx Qift a a圖1-5一個(gè)周期的工作脈沖波形五、實(shí)驗(yàn)步驟按照實(shí)驗(yàn)內(nèi)容設(shè)計(jì)并連接電路,輸入脈沖信號(hào),觀察燈的亮滅情況,并用單脈沖進(jìn)行檢驗(yàn)。六、實(shí)驗(yàn)內(nèi)容記錄(數(shù)據(jù)、圖表、波形、程序設(shè)計(jì)等)實(shí)驗(yàn)電路如圖:o7-1744 ecLFr >UCLK 也LQ EFTf 對(duì)r.CCLC1VX3B邸箭1A1B細(xì)勿2C苛陀LCW VOC輸入脈沖后,三個(gè)指示燈按規(guī)則亮滅。W1=Tt< M0X MW2=T(K M0X MN仁W1+W2真值表為:ML1( W1L2( W2L3( N1)000010000000100000001000010110000000101

6、1000010000101100000001000七、實(shí)驗(yàn)結(jié)果分析、實(shí)驗(yàn)小結(jié)實(shí)驗(yàn)成功的產(chǎn)生了要求的信號(hào)。第一次做計(jì)組實(shí)驗(yàn),對(duì)器械不熟悉,做起來耗時(shí)較長(zhǎng),以后要多加練習(xí)。計(jì)算機(jī)組成原理實(shí)驗(yàn)(二)實(shí)驗(yàn)題目:總線傳輸技術(shù)成績(jī):一、實(shí)驗(yàn)?zāi)康?、了解總線的工作原理2、掌握總線的傳送技術(shù)3、熟悉建立總線的器件特性二、實(shí)驗(yàn)內(nèi)容1、 根據(jù)圖2-2所示的實(shí)驗(yàn)方案,如果要通過“輸出顯示”觀察到“RAM地址寄存器(AR)” 中的數(shù)據(jù),請(qǐng)選用適當(dāng)元器件設(shè)計(jì)實(shí)現(xiàn)。畫出實(shí)驗(yàn)電路邏輯圖,并組裝成電路。2、在設(shè)計(jì)的電路上實(shí)現(xiàn)下列手動(dòng)單功能操作,并寫出操作步驟:(1)開關(guān)數(shù)據(jù)t輸出顯示;(2)開關(guān)數(shù)據(jù) t RAM地址寄存器(A

7、R);(3)RAM地址寄存器(AR t輸出顯示;設(shè)計(jì)提示:用不同的開關(guān)控制各個(gè)寄存器,并用不同的脈沖對(duì)寄存器實(shí)現(xiàn)數(shù)據(jù)打入。三、實(shí)驗(yàn)儀器及器材1、計(jì)算機(jī)組成原理實(shí)驗(yàn)臺(tái)和+ 5V直流穩(wěn)壓電源2、74LS244、74LS273四、實(shí)驗(yàn)電路原理(實(shí)驗(yàn)電路原理圖)計(jì)算機(jī)全部工作過程,可以看成是信息的傳送和加工過程;信息傳送在機(jī)器內(nèi)部是極為頻繁的,為減少機(jī)器中的信息傳輸線、節(jié)省器件,提高傳送能力及可靠性,采用總線方法是必不可少的,建立總線的基本原則是 互斥性:掛總線的各總線驅(qū)動(dòng)器 (發(fā)送端)必須具有 分時(shí)操作的可能性,不允許在同一總線上同時(shí)有兩個(gè)發(fā)送源發(fā)送信息。一致性:同一總線中所用掛總線的器件類型要一致

8、;通常用做總線的器件有兩種:0C門和三態(tài)門,前者負(fù)載能力較小,只能用于小規(guī)模的傳送應(yīng)用中;而三態(tài)門是目前應(yīng)用較多的總線傳送器件。在這類型件中,最常見的有 74LS244、74LS245,另外如74LS373、INTEL8212等器件也都可直接 與總線相連。下面介紹一種總線實(shí)驗(yàn)方案,如圖2-1所示:圖2-1 總線傳送技術(shù)實(shí)驗(yàn)框圖(例)上圖所示為一個(gè)小型總線傳送系統(tǒng),共有五個(gè)部件在同一總線上,其中A、C為總線的發(fā)送部件,D、E接收部件,B部件可雙向傳送,既可作發(fā)送端,也可作接受端。因此 在同一總線上共掛上三個(gè)傳送源,但在同一時(shí)間只允許傳送一個(gè)發(fā)送端發(fā)送的信息,例如t0時(shí)可以A t b、D、E ,

9、t!時(shí)可傳送從C t D、E、B。但絕不能在同一時(shí)刻,例如 t2時(shí), 同時(shí)作At D、B t E的信息傳送,也就是說建立總線必須遵循互斥性原則。在此本實(shí)驗(yàn) 中A、B、C、D、E均采用三態(tài)傳輸器件。因此上圖的總線設(shè)想可轉(zhuǎn)化為圖2-2所示的實(shí)現(xiàn)方案。從圖中可看出,地址信息及數(shù)據(jù)信息都是通過同一組數(shù)據(jù)開關(guān)經(jīng)三態(tài)傳輸門掛上總線,可以通過對(duì)操作時(shí)序的控制因此區(qū)分總線上的地再發(fā)送相應(yīng)的部件的。 要區(qū)分送入總線的信息是地址還是數(shù)據(jù), 來實(shí)現(xiàn), 本實(shí)驗(yàn)由于地址值及內(nèi)容數(shù)據(jù)都是通過數(shù)據(jù)開關(guān)人工加載的, 址和數(shù)據(jù)信息也就是人為地操作總線上的某些芯片,打入或讀出信息。圖2-2 總線傳送技術(shù)實(shí)驗(yàn)方案(例)1、八三態(tài)驅(qū)

10、動(dòng)門 74LS244內(nèi)部功能結(jié)構(gòu)見圖2-3所示,每芯片裝兩組。2、八D觸發(fā)器74LS273內(nèi)部功能結(jié)構(gòu)如圖2-4所示。圖2-4 74LS273 內(nèi)部功能結(jié)構(gòu)五、實(shí)驗(yàn)步驟按照實(shí)驗(yàn)內(nèi)容設(shè)計(jì)并連接電路,1、 K BUS置零,從D3D2D1D(端輸入要儲(chǔ)存的數(shù)據(jù),A1從0置1.,A2置零。觀察輸出 結(jié)果。2、 A2置一,K BUS、A1、RBUS置零,輸入端輸入數(shù)據(jù)。3、K BUS置一,R BUS、A2置零,A1從0置1,輸出端輸出數(shù)據(jù)。六、實(shí)驗(yàn)內(nèi)容記錄(數(shù)據(jù)、圖表、波形、程序設(shè)計(jì)等) 實(shí)驗(yàn)電路如圖:WC1A12G'負(fù)4IY1他哥:iZ1A3和1Y3的臂1IVi2AI.LkvtiIQIDsu&

11、#177;Li71£Q7Q '6031-6111'-1+Q5QGHD匚LFK亠:七、實(shí)驗(yàn)結(jié)果分析、實(shí)驗(yàn)小結(jié) 按步驟操作后,輸出與輸入相吻合。計(jì)算機(jī)組成原理實(shí)驗(yàn)(三)實(shí)驗(yàn)題目:RAM存貯器成績(jī):一、實(shí)驗(yàn)?zāi)康?、了解半導(dǎo)體靜態(tài)存貯器2、掌握RAM存貯器的讀寫操作二、實(shí)驗(yàn)內(nèi)容完成下列設(shè)計(jì)任務(wù),畫出電路邏輯設(shè)計(jì)圖,并寫出對(duì)存貯器單個(gè)地址的讀、寫操作過程:設(shè)計(jì)一個(gè)容量為256X 4 bit的存貯器并完成單個(gè)存貯單元的讀寫操作,選5個(gè)不連續(xù)的存貯單元地址操作。設(shè)計(jì)提示:用一片74LS273作為存貯器的地址寄存器,可再用一片同樣的芯片作為存貯器數(shù)據(jù)輸出 緩沖器,只用其中的 4位即可

12、。三、實(shí)驗(yàn)儀器及器材1、 計(jì)算機(jī)組成原理實(shí)驗(yàn)臺(tái)和+5V直流穩(wěn)壓電源2、74LS244、 M2114 74LS273四、實(shí)驗(yàn)電路原理(實(shí)驗(yàn)電路原理圖)1、M2114內(nèi)部功能結(jié)構(gòu)M2114由以下幾個(gè)部分組成,如圖3-1所示:A7 .VH劇I吋I叱1咼丨立JM2114W 麗 Al U 訕 M A2 TLU Ld UTJU LJ U LJ U圖3-1 M2114 SRAM器件的內(nèi)部邏輯及引腳圖(1) 存貯體一一它是一個(gè) 32行X 32列X 4bit的存貯器陣列,用以寄存信息代碼。(2) 譯碼器一一采用 X-Y矩陣譯碼,因此它分為行譯碼和列譯碼兩部份,分別對(duì)行、 列地址進(jìn)行譯碼。(3) 讀寫電路把代碼信

13、號(hào)從存貯體中讀出并放大,使與TTL相兼容,而寫電路把代碼寫入存貯體。(4) 控制器一一接收讀、寫命令,并發(fā)出控制,以接收或發(fā)送其數(shù)據(jù)信息,(5) 三態(tài)輸入輸出緩沖器一一由控制線控制,以接收或發(fā)送其數(shù)據(jù)信息。2、M2114的讀操作:(1) 把所送單元的地址送到地址輸入端AA。(2) 把讀寫控制電平 WE置“ 1”,即WE 1。(3) 置片選控端CS 0。(4) 經(jīng)一定的延遲后,從|1.04上獲得所要的數(shù)據(jù)。3、M2114的寫操作:(1) 把要寫入單元的地址送到地址線Aa9。(2) 置 WE 0。(3) 把要存入的數(shù)據(jù)置在數(shù)據(jù)線 1.0L 04上。(4) 置片選CS 0,則經(jīng)一定延時(shí)后,數(shù)據(jù)就被寫

14、入指定的存貯單元中。4、M2114器件應(yīng)用舉例(1) RAM勺字長(zhǎng)擴(kuò)展一片M2114器件的單元數(shù)為1k (1024),每個(gè)單元的字長(zhǎng)為 4位,若需要字長(zhǎng)為 8位或 16位的SRAM存貯器,就要用2片或4片器件組合而成。這種擴(kuò)展方法只需把兩片或四片器 件對(duì)應(yīng)的地址線 AA9, CS、WE等信號(hào)并聯(lián)即可,它們的數(shù)據(jù)線加在一起就可組成一個(gè) 8位或16位的SRAM存貯器。同理,可以很方便地把字長(zhǎng)擴(kuò)展到4X N位,其中N為M2114器件數(shù)。圖3-2所示為1kX 8位的SRAM邏輯圖。(2) RAM勺容量擴(kuò)展一片M2114的地址線有10根AA9,故其最大容量為210 1024即1k,現(xiàn)若希望SRAM 的容

15、量為4k,其構(gòu)成的方法如下:A 4k共需12根地址線,即 A為,因?yàn)?124096 4k,共需要4片M2114B由于M2114僅有AA9位地址,每片1k,因此只需把12位地址中的高二位 A!A。 經(jīng)一片2-4線譯碼器(如 74LS139)譯成四個(gè)狀態(tài),分別去控制每一片的CS端,即可達(dá)到擴(kuò)充4k的目的。采用此方法,只需要適當(dāng)配置譯碼電路,就可以把SRAM存貯器的容量以1k為模任意加以擴(kuò)充。1/® 1/07 I血 1/06I AM r/00 1/02 I/M 讀寫 AH圖 3-2 1k X 8 位的 M2114五、實(shí)驗(yàn)步驟按照實(shí)驗(yàn)內(nèi)容設(shè)計(jì)并連接電路, 對(duì)單個(gè)存貯器地址的寫操作如下:1、K

16、 Bus =1,CS=12、K Bus =03、輸入端 D3D2D1D0俞入地址(0H15H),打入 MAR4、輸入端D3D2D1D(輸入數(shù)據(jù)5、W/R=06、 CS+17、返回3,寫下一個(gè)數(shù)據(jù)讀操作如下:1、KBus =1,CS=12、KBus =03、輸入端 D3D2D1D(輸入地址(0H15H),打入 MAR4、KBus =15、W/R=16、CS+ 07、輸出數(shù)據(jù)8、CS=(H 19、返回2,讀下一數(shù)據(jù)六、實(shí)驗(yàn)內(nèi)容記錄(數(shù)據(jù)、圖表、波形、程序設(shè)計(jì)等) 實(shí)驗(yàn)電路如圖:真值表為:AdresslAdress2Adress3Adress4Data1Data2Data3Data400011110

17、001011010100101110000111vcc2C?JY1 卅1YZLY3 鬧IY4L'JCLRWCC0Q8T7J7Q6GBD5D 冏 clk0J5-i=1£fTjn773v j7 8 9 1 5 如 A A A n o o oE 5 Li 3 o 1 2S in A A A A A Ac14| |七、實(shí)驗(yàn)結(jié)果分析、實(shí)驗(yàn)小結(jié) 按步驟操作后,輸出與地址相吻合。計(jì)算機(jī)組成原理實(shí)驗(yàn)(四)實(shí)驗(yàn)題目:總線半導(dǎo)體靜態(tài)存貯器成績(jī):一、實(shí)驗(yàn)?zāi)康?、熟悉掛總線的邏輯器件的特性和總線傳送的邏輯實(shí)現(xiàn)方法2、掌握半導(dǎo)體靜態(tài)存貯器的存取方法二、實(shí)驗(yàn)內(nèi)容1、根據(jù)實(shí)驗(yàn)方案框圖,調(diào)用 PC模塊,選用

18、適當(dāng)元器件,畫出實(shí)驗(yàn)電路邏輯圖,并組裝 成電路。2、 在電路上實(shí)現(xiàn)下列手動(dòng)單功能操作,(控制信息可用電平開關(guān)輸出電平)。設(shè)計(jì)提示:1、利用實(shí)驗(yàn)箱中提供的總線接口搭接總線結(jié)構(gòu),各器件再分別掛到總線上。2、用一片74LS273作為存貯器的地址寄存器。3、PC模塊可看作一個(gè)透明的元件,用來產(chǎn)生連續(xù)的存貯器地址,其數(shù)據(jù)置入端和計(jì)數(shù) 輸出端已經(jīng)在內(nèi)部掛接到總線上。三、實(shí)驗(yàn)儀器及器材1、計(jì)算機(jī)組成原理實(shí)驗(yàn)臺(tái)和 +5V直流穩(wěn)壓電源2、器件由附錄A “集成電路清單”內(nèi)選用四、實(shí)驗(yàn)電路原理(實(shí)驗(yàn)電路原理圖)在單總線結(jié)構(gòu)的計(jì)算機(jī)中,其地址和數(shù)據(jù)都是通過同一組數(shù)據(jù)開關(guān)及三態(tài)傳輸門掛上總 線,發(fā)送到相應(yīng)計(jì)算器、 地址

19、寄存器或存貯器單元。怎樣區(qū)分送入總線的信息是地址還是數(shù)據(jù),這可通過控制操作的時(shí)序來實(shí)現(xiàn)。計(jì)數(shù)器可選用74LS161和74LS244構(gòu)成可預(yù)置計(jì)數(shù)器,并具有雙向傳送邏輯功能,即可以從總線上接受信息,也可以發(fā)送信息到總線上,而緩沖器 及地址寄存器僅是接收總線信息的一個(gè)部件。本實(shí)驗(yàn)的邏輯電路方案如圖4-1所示:BUS帖PO出跡計(jì)數(shù)站a -1 'E|三亦恂1門Lod cLed BJU12114X2圖4-1總線半導(dǎo)體存貯器實(shí)驗(yàn)框圖芯片邏輯圖介紹同步四位計(jì)數(shù)器74LS161及字長(zhǎng)擴(kuò)展CKLDQ? (J6 06F】74LSI61丁CK D C t A LO 風(fēng)uuOd ,:t % cF -Cn74L

20、S161T CK5 t:is /LD CfiQ3就0】如t1D7 D6 I>S D4D 書 CG Dl DO圖4-2 74LS161 字長(zhǎng)擴(kuò)展 圖4-2中:D、C、B、A 輸入(D為高位,A為低位);Qd、Qc、Qb、Qa輸出(Qd為咼位,Qa為低位);PT -使能(置數(shù)或計(jì)數(shù)為咼)LD-操作模式(置數(shù)低,計(jì)數(shù)為高);CK -置數(shù)或計(jì)數(shù)脈沖;Cn動(dòng)態(tài)進(jìn)位輸出 Cn-QD|Qc|Q|QA;CR-清除。五、實(shí)驗(yàn)步驟按照實(shí)驗(yàn)內(nèi)容設(shè)計(jì)并連接電路,1、Kf B、AKBus-1, PCBus -1,CS-1, LD-1KBus-0, LD-0輸入端 D3D2D1D0俞入地址(0H15H,打入 MAR

21、LoadMAR LoadPCKBus-1, LD-12、Kf RAMKBus-1, PCBus -1,CS-1, LD-1KBus=0輸入端 D3D2D1D0俞入數(shù)據(jù)(0H15H,打入 MARW/R=Q CS+ Of 1KBus-13、RAM> BusKBus-1, PCBus-1, CS-1, LD-13 PC, MARW/R=0 CS+ 0LoadCCS=(H 14、B+1t AK Bus=1, PCLoadPCPC Bus=0LoadMARPC Bus=1六、實(shí)驗(yàn)內(nèi)容記錄 實(shí)驗(yàn)電路如圖:Bus=1, CS=1 LD=1(數(shù)據(jù)、圖表、波形、程序設(shè)計(jì)等)CLR 9LKABC二 LR&#

22、39; 1Q 1D 2D 旳D7 *>1 >V1JL«8霊誥常易EMV121212 1£ f 斗|1如 1&1A那lAerlA即lAarMccpBD7B7n6Q創(chuàng)SD9QOK曠翱需4D爲(wèi)EhF ur<i50 CLKv胡 40 帕5NDgttBQC趣A 6AFV界1uiAJfl. 9.A210 1CS10;WF10 =104GQBDeTD±亠士亠士亠真值表為:AdresslAdress2Adress3Adress4Data1Data2Data3Data400011110001011010011101101000111七、實(shí)驗(yàn)結(jié)果分析、實(shí)驗(yàn)小

23、結(jié) 按步驟操作后,輸出與地址相吻合。計(jì)算機(jī)組成原理實(shí)驗(yàn)(五)實(shí)驗(yàn)題目:運(yùn)算器成績(jī):學(xué)生姓名:XXX 學(xué)號(hào):20081060058 指導(dǎo)教師:xxxxxx學(xué)院名稱:xxxxxxxxx 專業(yè):計(jì)算機(jī)科學(xué)與技術(shù)年級(jí):08級(jí)實(shí)驗(yàn)時(shí)間 : xxxxxxx實(shí)驗(yàn)室: xxxxxx一、實(shí)驗(yàn)?zāi)康?、熟悉運(yùn)算器部件的基本組成2、了解74LS181 ALU器件的功能及使用方法二、實(shí)驗(yàn)內(nèi)容1、74LS181 ALU 功能檢測(cè):根據(jù)表5-2 : 74LS181的32種算術(shù)、邏輯功能。(1) 先設(shè)計(jì)功能檢測(cè)的詳細(xì)控制邏輯電路圖,A、B兩操作數(shù)均為4位,分別個(gè)數(shù)據(jù)開關(guān)直接送入。(2) S3S0、Cn、M這6個(gè)控制碼,除了

24、Cn、M可直接用開關(guān)控制外,S3S??捎?位二進(jìn)制計(jì)數(shù)器的16個(gè)狀態(tài)來實(shí)現(xiàn)。(3)制定一張?jiān)O(shè)計(jì)好 A、B兩操作數(shù)的內(nèi)容及運(yùn)算后應(yīng)得結(jié)果,以便實(shí)驗(yàn)時(shí)加以對(duì)照。功能選擇S3 S0補(bǔ)碼輸入補(bǔ)碼輸出M 1選擇功能M 0算術(shù)操作Cn 1 (無進(jìn)位)Cn 0 (有進(jìn)位)0000FAFAFA加10001FA BFA BF(A B)加 10010FAbFA BF(A B)加 10011F0F減1 : 2的補(bǔ)碼F00100FAbFA加ABFA加AB加10101FbF(A B)加 ABF(A B)加 AB加 10110FA BFA減B減1FA減B0111FABFAB減1FAB1000FA BFA 力口 ABFA力

25、口 AB力口 11001FA BFA加BFA加B加11010FBF(A B)加 ABF(A §)加 AB 加 11011FABFAB減1FAB1100F1FA加AFA加A加11101FA BF(A B)加 AF(A B)加A加11110FA BF(A B)加 AF(A 6)加A加11111FAFA減1FA設(shè)計(jì)提示:1、ALU是組合邏輯電路,它的2個(gè)操作數(shù)分別用 4個(gè)數(shù)據(jù)開關(guān)直接產(chǎn)生,運(yùn)算結(jié)果也直接送輸出顯示。Cn和M用開關(guān)產(chǎn)生。ALU)和一些寄存器組成,ALU單ALU單元中,其核心部分是一2、ALU操作指令中的S3So用74LS161順序產(chǎn)生,三、實(shí)驗(yàn)儀器及器材1、計(jì)算機(jī)組成原理實(shí)驗(yàn)

26、臺(tái)和+5V直流穩(wěn)壓電源2、74LS181、74LS161四、實(shí)驗(yàn)電路原理(實(shí)驗(yàn)電路原理圖)運(yùn)算器是CPU的一個(gè)主要部件,它通常由算術(shù)單元( 元對(duì)操作數(shù)進(jìn)行各種運(yùn)算(加、減、乘、除)和邏輯運(yùn)算。在個(gè)二進(jìn)制加法器,一般它由N位全加器組成,為了提高運(yùn)算速度,通常在級(jí)間都采用超前進(jìn)位邏輯,若在此基礎(chǔ)上,再增加一些控制線及控制邏輯,就能大大擴(kuò)展各種邏輯功能而成一個(gè)功能發(fā)生器。目前具有代表性的此類ALU器件有74LS181、74LS881等。74LS181器件可對(duì)兩個(gè)4位字進(jìn)行16種二進(jìn)制算術(shù)運(yùn)算的 16種邏輯運(yùn)算,即具有 32 種函數(shù)功能。由四個(gè)功能選擇端S3、S2、S、So及一個(gè)模式控制端 M來選擇這

27、32種功能操作,其中M狀態(tài)的0、1,用來區(qū)分是算術(shù)運(yùn)算還是邏輯運(yùn)算。74LS181有兩種邏輯表示,即正邏輯與負(fù)邏輯,對(duì)這兩種邏輯表示的輸入輸出信息的有效電平有不同的要求,在正邏輯操作時(shí)是高電平有效,而在負(fù)邏輯操作時(shí)是低電平有效,其外形圖如下圖5-1所示:Vr-f Al Bl A2 B2 At i'i G 1 P A'6 H)7ILS181 ilft簡(jiǎn)別筋昭SL 吳滄 H Hi Fl肥1和卜LdLJlJLJLJNIJLJUUlldLiJl圖5-1 74LS181 芯片圖器件共有24個(gè)引腳,分別說明如下:表 5-1 :引腳號(hào)記憶符號(hào)功能說明1Bo操作數(shù)B的0位輸入端2A操作數(shù)A的0

28、位輸入端36S3 S016種操作功能控制編碼輸入端7Cn低位進(jìn)位輸入8M算術(shù)/邏輯運(yùn)算模式控制911Fo F2運(yùn)算結(jié)果的低三位數(shù)12GND地13F3運(yùn)算結(jié)果的第4位數(shù)14A B用于比較A、B兩數(shù)(OC輸出)15P小組進(jìn)位傳遞信號(hào)16Cn 4高位進(jìn)位輸出17G小組進(jìn)位生成輸出18-23B3 B1 ; A3 A1操作數(shù)A和B的第31位數(shù)24V+5V電源五、實(shí)驗(yàn)步驟按照實(shí)驗(yàn)內(nèi)容設(shè)計(jì)并連接電路,在輸入端輸入 A、B的二進(jìn)制值,計(jì)數(shù)器先置零,分別對(duì)M=1; M=0 Cn=1; M=0 Cn=O三種情況,從0000開始計(jì)數(shù)指導(dǎo)1111,記錄輸出結(jié)果。六、實(shí)驗(yàn)內(nèi)容記錄(數(shù)據(jù)、圖表、波形、程序設(shè)計(jì)等) 實(shí)驗(yàn)電

29、路如圖:A=0111B=0010S3S2S1S0M=1M=0Cn=1M=0Cn=00000100001111000000110000111100000100000111100000011000011110000010011011100110101011101110011010110010101000101011101010100010110001010100110101001101010011010101000100001001010110010000100101100111111101111110111111110111111100111011001111111011101100111真值表

30、為:L3LErGFOWQO.QEN汕 LrLK協(xié)7wACTtil*S3前S?SIF?'SI)fe'Ch.pyMFITFlFi?'GLPFT4LWJOua_七、實(shí)驗(yàn)結(jié)果分析、實(shí)驗(yàn)小結(jié) 按步驟操作后,輸出與理論結(jié)果相吻合。精品計(jì)算機(jī)組成原理實(shí)驗(yàn)(六)實(shí)驗(yàn)題目:運(yùn)算器數(shù)據(jù)通路成績(jī):一、實(shí)驗(yàn)?zāi)康?、熟悉74LS181函數(shù)功能發(fā)生器的功能,提高器件在系統(tǒng)中應(yīng)用的能力2、熟悉運(yùn)算器的數(shù)據(jù)傳送通路3、完成幾種算邏運(yùn)算操作,加深對(duì)運(yùn)算器工作原理的理解二、實(shí)驗(yàn)內(nèi)容按圖6-1所示參考框圖自行設(shè)計(jì)一個(gè)能完成表6-1所列補(bǔ)碼運(yùn)算指令的運(yùn)算器(為簡(jiǎn)單化電路,進(jìn)位和結(jié)果觸發(fā)器可以不設(shè)置)。選擇適當(dāng)

31、元件,畫出詳細(xì)實(shí)驗(yàn)電路邏輯圖(包括對(duì)開關(guān)的定義),并組裝成電路。表 6-1 :指令助記符代碼功能加ADD1001r (Sa) (Sb)Sa:減SUB0110(SA) (Sb) Sa加1INR0000(SA) 1Sa減1DCR1111(SA) 1Sa邏輯與ANA1011(SA)?(Sb)Sa取反CMA0101(Sb)取反Sa左移SHL1100(Sa)左移Sa在電路上進(jìn)行表6-1中指令的手動(dòng)單指令操作(操作數(shù)、指令碼由數(shù)據(jù)開關(guān)輸入)。設(shè)計(jì)提示:1、 運(yùn)算器的輸入緩存器 Sa、Sb分別用一片74LS161來實(shí)現(xiàn),但只用到74LS161的置數(shù) 功能,禁止其計(jì)數(shù)功能。2、 用一片74LS273作為運(yùn)算器

32、操作指令寄存器,只用到6位。3、用一片74LS244控制運(yùn)算器的運(yùn)算結(jié)果能否送總線。三、實(shí)驗(yàn)儀器及器材1、實(shí)驗(yàn)臺(tái)和+5V直流穩(wěn)壓電源各一臺(tái)。2、器件由附錄A “集成電路清單”內(nèi)選用。四、實(shí)驗(yàn)電路原理(實(shí)驗(yàn)電路原理圖)1、四位函數(shù)功能發(fā)生器(ALU) 74LS181的功能74LS181通過“控制參數(shù)” S3So和“模式控制” M,可對(duì)兩個(gè)輸入操作數(shù)完成32種算、邏運(yùn)算,并可以工作于正邏輯輸入輸出或負(fù)邏輯輸入輸出方式(本實(shí)驗(yàn)為正邏輯方式)??刂贫薓 0時(shí),屬算術(shù)運(yùn)算;M 1時(shí),屬邏輯運(yùn)算。進(jìn)位采用補(bǔ)碼形式輸入輸出,電路亦可進(jìn)行數(shù)的比較運(yùn)算。其操作功能可查閱實(shí)驗(yàn)五中的功能表。2、數(shù)據(jù)傳送通路實(shí)驗(yàn)電路

33、方案運(yùn)算器是計(jì)算機(jī)對(duì)數(shù)據(jù)進(jìn)行運(yùn)算的重要部件,它的核心是ALU函數(shù)功能發(fā)生器,其次還要有存放操作數(shù)和運(yùn)算中間結(jié)果的寄存器、移位門、傳送數(shù)據(jù)的總線等部件,在不同的控制信號(hào)下,運(yùn)算器完成不同的運(yùn)算功能。如圖6-1所示???些 0tT5在圖6-1中,Sa、Sb為存放兩個(gè)現(xiàn)行操作數(shù)的緩沖寄存器。其中Sa兼作存放中間結(jié)果的累加器,并給予顯示。它們僅接收來自總線的數(shù)據(jù)信息,送入ALU進(jìn)行算、邏運(yùn)算。ALU輸出經(jīng)移位門,將運(yùn)算結(jié)果送入總線。移位門掛總線是發(fā)送源,需用三態(tài)門作隔離器,可采用74LS244兼作移位門和隔離器。實(shí)驗(yàn)中,為減少模擬開關(guān)占用量,可在總線上掛一個(gè)指令寄存器,存放ALU的控制信息S3 So、

34、M、Cn。五、實(shí)驗(yàn)步驟按照實(shí)驗(yàn)內(nèi)容設(shè)計(jì)并連接電路,1、送指令到IR :K0 K2置一,K1置零;D7D6D5D端輸入指令代碼,D3D2開關(guān)置相應(yīng)功能對(duì)應(yīng)的狀態(tài); 按下A1。2、送初始A數(shù)據(jù):K0 K2置一,K1置零;D7D6D5D4端輸入 A數(shù); 按下A2。3、送B數(shù)據(jù):K0 K2置一,K1置零;D7D6D5D4端輸入B數(shù); 按下A3。4、輸出結(jié)果存到 A中:K0 K1置一,K2置零;按下A2。七、實(shí)驗(yàn)結(jié)果分析、實(shí)驗(yàn)小結(jié) 實(shí)驗(yàn)電路圖真值表指令助 記 符代 碼功能CnMABF加ADD1001(Sa) (Sb)Sa10001000010011減SUB0110(Sa) (Sb)Sa000011000

35、10010加1INR0000(Sa) 1Sa00001000010011減1DCR1111(Sa) 1Sa10001100010010邏輯與ANA1011(Sa)?(Sb)SaX1001000010000取反CMA0101(Sb)取反SaX1000000011110左移SHL1100(Sa)左移Sa101110000111001W vex -bu acr / E¥4 LYI 1A2叫l(wèi)Yr -1A3 族are怖 IM濮 * £tl 1V4 -C3HD I Al74?44mm nntw丹£1E7MT UMIr«XTVCC£LKPC01二DEhPE

36、NTJNJLJIW¥朋XEl:fc B吃附住滬脫時(shí)yi-+r-Em V :74273八、實(shí)驗(yàn)結(jié)果記錄、實(shí)驗(yàn)小結(jié) 實(shí)驗(yàn)結(jié)果與理論結(jié)果相同計(jì)算機(jī)組成原理實(shí)驗(yàn)(七)實(shí)驗(yàn)題目:手動(dòng)及自動(dòng)運(yùn)算器成績(jī):一、實(shí)驗(yàn)?zāi)康?、進(jìn)一步熟悉運(yùn)算器的數(shù)據(jù)傳送通路2、用手動(dòng)及自動(dòng)方式分別完成幾種算邏運(yùn)算操作,加深對(duì)運(yùn)算器工作原理的理解二、實(shí)驗(yàn)內(nèi)容1、 直接調(diào)用IR和ALU模塊,設(shè)計(jì)能完成實(shí)驗(yàn)六中表 6-1所列運(yùn)算的手動(dòng)運(yùn)算器(為簡(jiǎn)單化電路,進(jìn)位和結(jié)果觸發(fā)器可以暫不設(shè)置)。畫出詳細(xì)實(shí)驗(yàn)電路邏輯圖(包括對(duì)開關(guān)的定義),并組裝成電路。在電路上進(jìn)行表6-1八種指令的手動(dòng)單指令操作(操作數(shù)、指令碼由數(shù)據(jù)開關(guān)輸入)。設(shè)計(jì)提示:指令寄存器模塊IR和ALU模塊所需的控制信號(hào)已經(jīng)列出并印在實(shí)驗(yàn)箱操作 面板上,請(qǐng)從中選用需要的控制信號(hào)。2、 在第1中手動(dòng)運(yùn)算器的基礎(chǔ)上,利用實(shí)驗(yàn)箱提供的m,m4來定序(實(shí)驗(yàn)臺(tái)左下方 固定印刷電路輸出),設(shè)計(jì)并組裝自動(dòng)控制電路,分別進(jìn)行加減法指令的自動(dòng)單次操作。設(shè)計(jì)提示:根據(jù)手動(dòng)操作的步驟,合理利用mm4,產(chǎn)生取代手動(dòng)操作中的控制電平和打入脈沖的控制信號(hào)。三、實(shí)驗(yàn)儀器及器材1、實(shí)驗(yàn)臺(tái)和+5V直流穩(wěn)壓電源各一臺(tái)2、器件由附錄A “集成電路清單”內(nèi)選用四、實(shí)驗(yàn)電路原理(實(shí)驗(yàn)電路原理圖)參考實(shí)驗(yàn)六中的運(yùn)算器數(shù)據(jù)通路圖6-

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論