DSP調(diào)制解調(diào)系統(tǒng)設(shè)計(jì)_第1頁
DSP調(diào)制解調(diào)系統(tǒng)設(shè)計(jì)_第2頁
DSP調(diào)制解調(diào)系統(tǒng)設(shè)計(jì)_第3頁
DSP調(diào)制解調(diào)系統(tǒng)設(shè)計(jì)_第4頁
DSP調(diào)制解調(diào)系統(tǒng)設(shè)計(jì)_第5頁
已閱讀5頁,還剩20頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、DSP系統(tǒng)課程設(shè)計(jì) -調(diào)制解調(diào)器系統(tǒng)設(shè)計(jì)目 錄引言- 1 -一、設(shè)計(jì)目的和任務(wù)- 2 -二、設(shè)計(jì)內(nèi)容與要求- 2 -三、設(shè)計(jì)方案- 3 -3.1、系統(tǒng)整體的結(jié)構(gòu)圖- 3 -3.2、工作原理- 3 -3.2.1調(diào)制 - 4 -3.2.2解調(diào)- 4 -3.3、硬件原理圖- 6 -四、系統(tǒng)實(shí)現(xiàn)- 13 -4.1、硬件部分- 13 -4.2、軟件部分- 13 -4.2.1、調(diào)制程序- 13 -4.2.2、解調(diào)程序- 16 -4.3、結(jié)語- 22 -五、心得體會(huì)- 23 - 23 -引言FSK(Frequency-shift keying):頻移鍵控頻移鍵控是利用載波的頻率變化來傳遞數(shù)字信息。它是利用基

2、帶數(shù)字信號離散取值特點(diǎn)去鍵控載波頻率以傳遞信息的一種數(shù)字調(diào)制技術(shù)。是信息傳輸中使用得較早的一種調(diào)制方式,它的主要優(yōu)點(diǎn)是: 實(shí)現(xiàn)起來較容易,抗噪聲與抗衰減的性能較好。在中低速數(shù)據(jù)傳輸中得到了廣泛的應(yīng)用。 最常見的是用兩個(gè)頻率承載二進(jìn)制1和0的雙頻FSK系統(tǒng),即2FSK系統(tǒng)。二進(jìn)制頻移鍵控(2-FSK) 頻移鍵控是利用兩個(gè)不同頻率f1和f2的振蕩源來代表信號1和0,用數(shù)字信號的1和0去控制兩個(gè)獨(dú)立的振蕩源交替輸出。技術(shù)上的FSK有兩個(gè)分類,非相干和相干的FSK。在非相干的FSK,瞬時(shí)頻率之間的轉(zhuǎn)移是兩個(gè)分立的頻率。 在另一方面,在相干頻移鍵控或二進(jìn)制的FSK ,是沒有間斷期在輸出信號。 在數(shù)字化時(shí)

3、代,電腦通信在數(shù)據(jù)線路(電話線、網(wǎng)絡(luò)電纜、光纖或者無線媒介)上進(jìn)行傳輸,就是用FSK調(diào)制信號進(jìn)行的,即把二進(jìn)制數(shù)據(jù)轉(zhuǎn)換成FSK信號傳輸,反過來又將接收到的FSK信號解調(diào)成二進(jìn)制數(shù)據(jù),并將其轉(zhuǎn)換為用高,低電平所表示的二進(jìn)制語言,這是計(jì)算機(jī)能夠直接識別的語言。 隨著現(xiàn)代通信技術(shù)的發(fā)展,軟件化的通信思想趨于成熟。用DSP芯片或者通用CPU芯片作為無線通信的硬件平臺,而盡可能多的用軟件來實(shí)現(xiàn)通信功能,是現(xiàn)代通信領(lǐng)域廣泛使用的方法。隨著DSP芯片性價(jià)比的提高,其在通信、自動(dòng)控制、儀器儀表等許多領(lǐng)域的應(yīng)用也越來越廣泛。一、設(shè)計(jì)目的和任務(wù)目的:通過本課程的實(shí)踐,能進(jìn)一步掌握高級語言程序設(shè)計(jì)基本概念,掌握基本

4、的程序設(shè)計(jì)方法;通過設(shè)計(jì)一個(gè)完整的小型程序,初步掌握開發(fā)軟件所需的需求定義能力、功能分解能力和程序設(shè)計(jì)能力、代碼調(diào)試技能;學(xué)習(xí)編寫軟件設(shè)計(jì)文檔;為未來的軟件設(shè)計(jì)打下良好的基礎(chǔ)。DSP系統(tǒng)課程設(shè)計(jì)是一項(xiàng)實(shí)踐性和綜合性都比較強(qiáng)的課程。通過本課程的學(xué)習(xí),可以掌握典型DSP芯片的結(jié)構(gòu)、原理和典型應(yīng)用,既能鞏固數(shù)字信號處理基礎(chǔ)、DSP原理及應(yīng)用、通信原理中相關(guān)的基礎(chǔ)理論知識,又為日后從事相關(guān)系統(tǒng)開發(fā)設(shè)計(jì)奠定一定的基礎(chǔ)。任務(wù):利用所學(xué)知識,設(shè)計(jì)一個(gè)基于DSP的二進(jìn)制頻移鍵控(2FSK)調(diào)制解調(diào)系統(tǒng)。了解掌握2FSK調(diào)制與解調(diào)原理,熟悉Protel99、CCS2.2等軟件開發(fā)環(huán)境,完成對2FSK調(diào)制與解調(diào)電

5、路設(shè)計(jì)和仿真,分析仿真結(jié)果。二、設(shè)計(jì)內(nèi)容與要求繪制具備AD功能的DSP最小系統(tǒng)電路圖,設(shè)計(jì)基于DSP的2FSK調(diào)制解調(diào)程序。設(shè)計(jì)2FSK調(diào)制解調(diào)的DSP程序,并給出相應(yīng)的仿真結(jié)果。1.了解和熟悉DSP綜合試驗(yàn)箱的結(jié)構(gòu)原理和設(shè)置;存儲(chǔ)器、邏輯控制等模塊的原 理和配置。 2.開發(fā)工具 熟悉DSP開發(fā)系統(tǒng)的連接;進(jìn)一步熟悉CCS2.2開發(fā)環(huán)境的使用方法。 3.DSP結(jié)構(gòu) 進(jìn)一步熟悉DSP的硬件構(gòu)造,特別是DSP外圍存儲(chǔ)單元及接口電路的設(shè)計(jì)。 4.DSP最小系統(tǒng)設(shè)計(jì) 繪制DSP最小系統(tǒng)電路圖:外圍存儲(chǔ)器及ADC電路的設(shè)計(jì)。 5.2FSK調(diào)制及解調(diào) 理解2FSK調(diào)制及解調(diào)的原理,設(shè)計(jì)2FSK調(diào)制及解調(diào)的

6、方案,給出具體的實(shí)現(xiàn)思路。 6.FIR濾波器 計(jì)算FIR實(shí)現(xiàn)所需的參數(shù)。 7.FIR濾波器實(shí)現(xiàn) 編寫FIR濾波器實(shí)現(xiàn)的DSP程序。 8.2FSK調(diào)制及解調(diào)實(shí)現(xiàn) 給出2FSK調(diào)制及解調(diào)實(shí)現(xiàn)流程圖,編寫相關(guān)DSP實(shí)現(xiàn)程序。 9.仿真 驗(yàn)證2FSK調(diào)制及解調(diào)的DSP程序,給出相應(yīng)的仿真結(jié)果。10.完成課程設(shè)計(jì)報(bào)告。 三、設(shè)計(jì)方案3.1、系統(tǒng)整體的結(jié)構(gòu)圖圖.1系統(tǒng)整體結(jié)構(gòu)圖3.2、2FSK工作原理二進(jìn)制頻移鍵控的基本原理:用數(shù)字基帶信號來控制高頻載波頻率的變化,使載波的頻率在和之間變化。二進(jìn)制頻移信號可以看成兩個(gè)不同載波的二進(jìn)制振幅鍵控信號的疊加。若二進(jìn)制基帶信號的符號1對應(yīng)于載波頻率,符號0對應(yīng)于載

7、波頻率,則二進(jìn)制頻移鍵控信號的時(shí)域表達(dá)式: (1)(1)式中, ,,是的反碼,為碼元持續(xù)時(shí)間,通常情況下,為單個(gè)矩形脈沖。二進(jìn)制頻移鍵控(2FSK)是數(shù)字通信中常用的一種調(diào)制方式,其調(diào)制與解調(diào)的方法有很多種。采用數(shù)字信號處理來實(shí)現(xiàn)二進(jìn)制頻移鍵控信號的數(shù)字調(diào)制與非相干數(shù)字解調(diào),大大地降低了硬件電路的復(fù)雜程度,提高了系統(tǒng)的靈活性,能夠滿足二進(jìn)制頻移鍵控各種傳輸協(xié)議的要求。一個(gè)簡易的2FSK工作原理如圖2所示。在發(fā)送方,輸入的基帶數(shù)據(jù)信號經(jīng)過調(diào)制和發(fā)送帶通濾波器產(chǎn)生信道可傳輸?shù)念l帶信號,送人信道;在接收端,接收帶通濾波器除去帶外所附加的噪聲,將信號送入解調(diào)器(由延遲單元、乘法器和低,通濾波器構(gòu)成),

8、經(jīng)過低通濾波器除去產(chǎn)生的高頻信號,經(jīng)取樣判決得到輸出數(shù)字序列,完成信號傳輸。圖2. 2FSK系統(tǒng)工作原理圖3.2.1、2FSK調(diào)制2FSK調(diào)制就是把輸人數(shù)字序列變成適合于信道傳輸?shù)淖冾l正弦波,所以2FSK的DSP實(shí)現(xiàn)關(guān)鍵就是產(chǎn)生正弦或余弦波形。產(chǎn)生正弦波的方法有差分迭代法、泰勒級數(shù)展開法、查表法等多種方法。本文中調(diào)制采用查表法產(chǎn)生正弦波。 調(diào)制算法2FSK調(diào)制采用查表法,可以實(shí)現(xiàn)較好的實(shí)時(shí)性,特別適用于通信載波的生成。在DSP 的程序存儲(chǔ)空間,使用Q15 定點(diǎn)數(shù)格式在0,2上以2/N的相位間隔固化N 點(diǎn)正弦值,以供查表(這些值可由MATLAB軟件首先計(jì)算好),在此取N=12。這樣對于F0和F1

9、的取樣間隔分別為: (2)使用DSP定時(shí)器T0,用來實(shí)現(xiàn)對數(shù)據(jù)解調(diào)DAC輸出速率的控制。這樣,如要實(shí)現(xiàn)12Kbps的數(shù)傳輸速率,需要將DSP定時(shí)器T0的溢出率設(shè)置為192KHz。調(diào)制主程序流程圖 本文使用查表法提供2FSK調(diào)制所需要的兩路正弦波,即sin0_table和sin1_table,當(dāng)發(fā)送的數(shù)據(jù)為"0"時(shí)是發(fā)送sin0的數(shù)據(jù),當(dāng)發(fā)送的數(shù)據(jù)為"1"是發(fā)送sin1的數(shù)據(jù)。初始化完后,接收數(shù)據(jù),判斷“0”或“1”,打開中斷,則定時(shí)器每隔一個(gè)周期產(chǎn)生一次中斷,中斷服務(wù)程序則完成一個(gè)采樣點(diǎn)的輸出。一個(gè)碼元周期結(jié)束后,關(guān)中斷,判斷下一個(gè)發(fā)送數(shù)據(jù),繼續(xù)循環(huán)。主

10、程序流程如右圖3所示圖 圖3. 調(diào)制主程序流程3.2.2、2FSK解調(diào)采用軟件化設(shè)計(jì)思想,解調(diào)器也可以采用DSP編程來實(shí)現(xiàn)。FSK解調(diào)有相干解調(diào)和非相干解調(diào),雖然相干解凋抗干擾性能好,但他要求設(shè)置與發(fā)送設(shè)備中的高頻載波同頻同相的本地參考載波,使設(shè)備復(fù)雜,因此一般數(shù)字調(diào)頻系統(tǒng)都采用非相干解調(diào)。常用的非相干解調(diào)算法有過零檢測法和包絡(luò)檢測法以一種新的可用DSP軟件實(shí)現(xiàn)的FSK非相干解調(diào)算法,即正交自延時(shí)FSK解調(diào)算法。 解調(diào)算法針對小型通信系統(tǒng),可以采用一種算法簡單、占用存儲(chǔ)空間小的2FSK信號差分檢波解調(diào)算法,本文即采用的這種實(shí)時(shí)性較高的2FSK信號差分檢波解調(diào)算法,它是模擬信號解調(diào)電路用到的差分

11、檢波原理在2FSK信號數(shù)字解調(diào)中的具體實(shí)現(xiàn)。算法的基本思想是已調(diào)信號和它的的延時(shí)信號相乘,然后經(jīng)過低通濾波,根據(jù)濾波結(jié)果的符號判斷發(fā)送信號的值,從而實(shí)現(xiàn)信號的解調(diào)。算法原理圖如下圖4所示。圖4.2FSK解調(diào)算法原理圖 在接收端,接收帶通濾波器輸出信號采樣值經(jīng)延時(shí)器延遲個(gè)采樣點(diǎn)得到。要小于每個(gè)二進(jìn)制碼元周期內(nèi)的采樣點(diǎn)數(shù),使得和是屬于同一個(gè)二進(jìn)制碼元的采樣值。和相乘后的輸出樣值: (3) 前面一部分是僅與k有關(guān)的常數(shù)。后面一部分是與n有關(guān)的高頻分量,可通過對稱系數(shù)低通濾波器h(n)來濾除。低通濾波器h(n)的截止頻率設(shè)為12KHz,對稱系數(shù)經(jīng)Matlab計(jì)算求得:h0=0.00018497,h1=

12、0.26316,h2=0.19272,h3=0.22079,通過該低通濾波器后得到: (4)k的選擇是設(shè)計(jì)解調(diào)器的關(guān)鍵,應(yīng)使差值: (5)最大,以利于正確區(qū)分兩種頻率,降低判決的誤碼率。根據(jù)實(shí)際的測試得到,當(dāng)k=2時(shí),可以得到較好的區(qū)分度。經(jīng)過低通濾波后的數(shù)據(jù)U(n)經(jīng)過判決算法后,可以得到最終所要的解調(diào)數(shù)據(jù)Y(n)。系統(tǒng)12個(gè)采樣數(shù)據(jù)表示一個(gè)碼元,當(dāng)判決算法連續(xù)判決12個(gè)采樣數(shù)據(jù)(一個(gè)碼元包含的采樣點(diǎn))滿足預(yù)設(shè)閾值之后,確定一個(gè)碼元的狀態(tài)。假設(shè)如下判決算法中用都得變量:LPFOUT濾波器輸出,DATA_THD幅度判決的閾值,DEC_DATA_CURR當(dāng)前采樣點(diǎn)判決值,DEC_DATA_BE前

13、一次采樣點(diǎn)判決值,DEC_NUM判決用計(jì)數(shù)器,DEC_NUM_X周期計(jì)數(shù)器。判決算法流程圖如下圖所示: 圖圖5.判決算法流程圖3.3、硬件原理圖音頻接口原理圖圖6.音頻接口圖 此部分為整個(gè)系統(tǒng)提供音頻信號的采集輸入。由TLV320AIC23單片機(jī)進(jìn)行模擬信號采集處理,提供三個(gè)采集接口和一個(gè)輸出接口。電源原理圖圖7.電源 此部分為系統(tǒng)電源結(jié)構(gòu)的原理圖。為此系統(tǒng)提供了所需要的電源,如、5V、3.3V、1.8V電源,并設(shè)置了地線。寄存器原理圖圖8.寄存器原理圖 外部存儲(chǔ)器,用于接收存儲(chǔ)數(shù)據(jù)。DSP原理圖圖9.DSP原理圖 本系統(tǒng)采用TMS320VC5402PGE100 DSP芯片,該芯片有142個(gè)管

14、腳。芯片的電源電壓有3.3V與1.8V兩種,其中3.3V電壓供I/O接口用,2.5V電源主要供器件的內(nèi)部,包括CPU和其他所有的外設(shè)邏輯。該系統(tǒng)將芯片片內(nèi)部分模塊單元引出以便做外部擴(kuò)展。這些擴(kuò)展的模塊包含串行口、定時(shí)計(jì)數(shù)器、數(shù)據(jù)地址總線接口和通用I/O等。此芯片一是實(shí)現(xiàn)FIR濾波,其次是2FSK的調(diào)制與解調(diào)。EPM圖10.EPM原理圖AD與DA轉(zhuǎn)換原理圖圖11.數(shù)模模數(shù)轉(zhuǎn)換原理圖 DA和AD,即數(shù)字和模擬信號轉(zhuǎn)換系統(tǒng)??蓪⑾到y(tǒng)音頻接口所采集的模擬信號轉(zhuǎn)換為數(shù)字信號,送入DSP中進(jìn)行調(diào)制解調(diào)處理,然后再把處理后的信號轉(zhuǎn)換為模擬信號發(fā)射出去。頂層文件原理圖圖12.頂層文件連接圖 該版面為系統(tǒng)硬件原

15、理圖部分生成的頂層文件連接示意圖,從中可以反應(yīng)出系統(tǒng)的大概構(gòu)成部分。通過各個(gè)分板塊的網(wǎng)絡(luò)標(biāo)號,將各分散部分的信號線以及各總線聯(lián)系在一起,以便于進(jìn)行統(tǒng)一的電器檢查以及生成網(wǎng)絡(luò)表,為后面生成PCB板奠定基礎(chǔ)。加載網(wǎng)絡(luò)表后,利用手動(dòng)布局,在手動(dòng)布線的基礎(chǔ)上實(shí)現(xiàn)了該系統(tǒng)PCB板的完整布線。普通信號線10mil,電源線及地線為15mil。四、系統(tǒng)實(shí)現(xiàn)4.1、硬件部分利于protel軟件,畫出各部分硬件電路圖。生成頂層文件,畫出PCB板,并實(shí)現(xiàn)手動(dòng)布線,如前面各圖所示。4.2、軟件部分4.2.1、2FSK調(diào)制程序 本文2FSK調(diào)制采用查表法,使用Q15 定點(diǎn)數(shù)格式在0,2上以2/N的相位間隔固化N 點(diǎn)正弦

16、值,N=12: x = 0:2*pi/12:2*pi;y = 32768*sin(x)結(jié)果如圖: 調(diào)制主程序如下:*c54init.asm *.mmregs.include c54.inc.def c54init.sect "progsys"c54init:*SWWSR :SoftWare Wait-State Register Address 0028h* -* | 15 | 14 12 | 11 9 | 8 6 | 5 3 | 2 0 |*-* | XPA | I/O | Data | Data | Program | Program |*-STM #0x7208,SW

17、WSR;0 111 001 000 001 000*I/O空間為7個(gè)等待周期*數(shù)據(jù)空間8000h-FFFFh為1個(gè)等待周期*數(shù)據(jù)空間0000h-7FFFh為0個(gè)等待周期*程序空間8000h-FFFFh為1個(gè)等待周期*程序空間0000h-7FFFh為0個(gè)等待周期*SWCR :SoftWare Wait-State Conctrol Register Address 002Bh*-* | | 0 |* -* | | SWSM |* -STM #0,SWCR*等待周期不變*(STM #1,SWCR 等待周期加倍)*BSCR :Programabel bank-switching wait state

18、s Address 0029h* -* | 15 12 | 11 | 10 3 | 2 | 1 | 0 |* -* | BNKCMP | PSDS | Reserved | HBH | BH | EXIO |* -STM #0xF800,BSCR ;1111 1000 0000 0000*允許修改PMST中的值*在連續(xù)的讀程序或數(shù)據(jù)空間時(shí)插入1個(gè)額外的等待周期*外部存儲(chǔ)器的空白區(qū)間為4k*ST0* -* | 15 13 | 12 | 11 | 10 | 9 | 8 0 |* -* | ARP | TC | C | OVA | OVB | DP |* -STM #0,ST0*數(shù)據(jù)頁指針指向0*S

19、T1* -* | 15 | 14 | 13 | 12 | 11 |10 | 9 | 8 | 7 | 6 | 5 |4 0 |* -* |BRAF| CPL | XF | HM | INTM | 0 | OVM | SXM | C16 | FRCT | CMPT | ASM |*-STM #0x2b00,ST1;0010 1011 0000 0000*INTM=1 將全局中斷禁止*SXM=1 數(shù)據(jù)進(jìn)入ALU之前進(jìn)行符號位擴(kuò)展*OVM=1 益處控制位(參考ST1資料)*PMST* -* | 15 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 |*-* | IPTR | MP/MC |

20、 OVLY | AVIS | DROM | CLKOFF | SMUL|SST |*-* |0020 1111 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 |*-* 0011 11111 1 1 0 0 1 0 0STM #0x2fe4,PMST;0010 1111 1110 0100*將中斷向量表映射到 2f80*MP/MC=0 芯片工作在微計(jì)算機(jī)方式,可以尋址片內(nèi)程序存儲(chǔ)器*IFR or IMR*-* |15 14 | 13 | 12 | 11 | 10 | 9 | 8 | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 |*-* |RES |DMAC5|DMA

21、C4|BXINT1|BRINT1|HPINT|INT3|TINT1|DMAC0|BXINT0|BRINT0|TINT0|INT2|INT1|INT0|* | | | |DMAC3 |DMAC2 | | |DMAC1| | | | | | | |*-* 00 0 0 0 0 0 0 0 0 0 0 0 0 0 0STM #0xFFFF,IFR*清除掛起的中斷STM #0x0000,IMR;關(guān)閉所有中斷*打開定時(shí)器0的中斷。*CLKMD *-* | 15 12 | 11 | 10 3 | 2 | 1 | 0 |*-* | PLLMUL | PLLDIV | PLLCOUNT | PLLON/OFF

22、 | PLLNDIV | PLLSTATUS |* -STM #0,CLKMDclkcon:LDM CLKMD,AAND #0x01,ABC clkcon,ANEQSTM #0x43ff,CLKMD;0100 0011 1111 1111*利用軟件對CLKMD進(jìn)行加載(參考教材:P250)*PLL=4 MUL=5 100Mhz; PLL=3 MUL=4 80Mhz*TCR*-* | 15 12 | 11 | 10 | 9 6 | 5 | 4 | 3 0 |*-* | Revd | soft | free | PSC | TRB | TSS | TDDR |* -STM #0x0010,TCR1

23、;timer1 stopSTM #0x0010,TCR;TSS=1 Timer stopSTM #1000,TIMSTM #1000,PRDSTM #1000,TIM1STM #1000,PRD1*定時(shí)中斷周期CLKOUT*(TDDR+1)*(PRD+1)RSBX INTMSTM #0x0088,IMR*將全局中斷使能NOPNOPRET.end* Vectors.asm*.include c54.inc .sect ".vectors" .ref _c_int00 ; main progrom .ref TINT0_ISR ;.ref timer0 ,hpisys,usb_

24、read .align 0x80 ; must be aligned on page boundaryRESET: ; reset vector B _c_int00 ; branch to main progromNOP NOPnmi: RETE ; enable interrupts and return from one NOP NOP NOP ;NMI ; software interruptssint17 .space 4*16sint18 .space 4*16sint19 .space 4*16sint20 .space 4*16sint21 .space 4*16sint22

25、.space 4*16sint23 .space 4*16sint24 .space 4*16sint25 .space 4*16sint26 .space 4*16sint27 .space 4*16sint28 .space 4*16sint29 .space 4*16sint30 .space 4*16int0: RETE NOP NOP NOPint1: RETENOP NOP NOP int2: RETE NOPNOPNOP TINT: B TINT0_ISR;Timer0中斷 NOPNOPrint0: RETE NOP NOP NOPxint0: RETE NOP NOP NOPD

26、MAC0:RETENOPNOPNOPDMAC1:RETE;tint1NOPNOPNOPint3: RETE NOP NOP NOPHPINT:RETENOPNOPNOPDMAC2: RETE;rint1NOP NOP NOP NOPxint1: RETE NOPNOPNOPDMAC4:RETENOPNOPNOPDMAC5:RETENOPNOPNOP .end* FSK_MOD.CMD *MEMORY PAGE 0: PROG: origin = 0x2000, len = 0x0f80/*8k-128 word*/ VECT: origin = 0x2f80, len = 0x80/*128w

27、ord*/PAGE 1: DRAM: origin = 0x3000, len = 0xf80/*4k word*/SECTIONS progsys: load = PROG PAGE 0 .vectors: load = VECT PAGE 0 .data : load = DRAMPAGE 1align 16 .bss : load = DRAMPAGE 1調(diào)制仿真波形如圖:圖14.信號調(diào)制仿真波形 4.2.2、2FSK解調(diào)程序 本文采用的是實(shí)時(shí)性較高的2FSK信號差分檢波解調(diào)算法,是已調(diào)信號和它的的延時(shí)信號相乘,然后經(jīng)過低通濾波,根據(jù)濾波結(jié)果的符號判斷發(fā)送信號的值,從而實(shí)現(xiàn)信號的解調(diào)。算

28、法原理圖可如前所述,信號放大之后,經(jīng)k個(gè)單位時(shí)間的延時(shí),再與原信號相乘,經(jīng)過LPF判決結(jié)果。FIR濾波器 在數(shù)字信號處理中,濾波占有極其重要的地位。數(shù)字濾波是語音和圖像處理、模式識別、譜分析等應(yīng)用中的一個(gè)基本的處理算法。與模擬濾波相比,數(shù)字濾波具有很多突出的優(yōu)點(diǎn),例如它可以滿足濾波器對幅度和相位特性的嚴(yán)格要求,可以避免模擬濾波器所無法克服的電壓漂移、溫度漂移和噪聲等問題。用DSP芯片實(shí)現(xiàn)數(shù)字濾波除了具有穩(wěn)定性好、精確度高、不受環(huán)境影響等優(yōu)點(diǎn)外,還具有靈活性好的特點(diǎn)。在延時(shí)相乘之后的濾波器設(shè)計(jì),用可編程DSP芯片實(shí)現(xiàn)數(shù)字濾波可通過修改濾波器的參數(shù)十分方便地改變?yōu)V波器的特性。在延時(shí)相乘之后的濾波器

29、設(shè)計(jì),用可編程DSP芯片實(shí)現(xiàn)數(shù)字濾器,其流程可如右圖所示: 圖15.FIR濾波器軟件流程圖本文采用系數(shù)對稱用循環(huán)緩沖區(qū)和雙操作數(shù)尋址方法實(shí)現(xiàn)FIR濾波器設(shè)計(jì),參數(shù):濾波器階數(shù)為8,截止頻率為0.8。利用Matlab軟件中的freqz指令可以觀察到濾波器的特性,為便于說明,下圖提供了一個(gè)截止頻率0.8,階數(shù)為90的低通濾波器頻率特性圖。圖16.低通濾波器的頻率特性 本實(shí)驗(yàn)所設(shè)計(jì)濾波器經(jīng)由Matlab計(jì)算出系數(shù),如下:f = 0 0.8 0.8 1;m = 1 1 0 0;b = fir2(7,f,m);b = b*32768;freqz(b,512,1000) 圖17.濾波器系數(shù)濾波器程序如下:

30、* my_fir.asm *.title"my_fir.asm".mmregs.def_c_int00.bssy,1;yxn.usect"xn",8;xnh .usect"h",8;h;PA0.set0002H;數(shù)據(jù)輸出端口;PA1.set0008H;數(shù)據(jù)輸入端口.bss indata,1.bssoutdata,1.bss Dis_buff,1 .datatable:.word 137,6,-2635,18941,18941,-2635,6,137_c_int00: SSBXFRCT;小數(shù)乘法STM#xn,AR1RPT#7ST #0,

31、*AR1+;把x(n)-x(n-7)賦始值0STM#h,AR1RPT#7MVPD#table,*AR1+;把參數(shù)表復(fù)制到數(shù)據(jù)存儲(chǔ)區(qū)STM#xn+7,AR3;AR3->x(n-7)STM#h+7,AR4;AR4->h(n-7)STM#8,BK;循環(huán)緩沖區(qū)大小8STM#-1,AR0;指針調(diào)整值-1;LD#xn,DP;DP指向xn所在頁;PORTRPA1,xn;輸入數(shù)據(jù) LD#y,DP;DP指向y所在頁FIR:NOP MVKDindata,*AR3+0%RPTZA,#7MAC*AR3+0%,*AR4+0%,A;A=(AR3)*(AR4)+A, AR3=AR3+AR0,AR4=AR4+AR

32、0 ;STH A,outdata ;MVDP *(outdata), Dis_buff NOP STHA,y;保存計(jì)算結(jié)果 NOP;PORTWy,PA0;輸出結(jié)果BFIR;延時(shí)跳轉(zhuǎn);PORTRPA1,*AR3+0%;新數(shù)據(jù)覆蓋了最舊的數(shù)據(jù).end* my_fir.cmd *vectors.obj my_fir.obj -o my_fir.out -m my_fir.map -estart MEMORY PAGE 0: EPROM:org=0090H,len=0F70H VECS:org=0080H,len=0010H PAGE 1: SPRAM:org=1000H,len=1000H DARA

33、M:org=2000H,len=2000H /*由于在源程TWLO序中使用了BK寄存器,所以必須使用"align"命令 */ SECTIONS .text:> EPROMPAGE 0 .data:> EPROMPAGE 0 .bss:> SPRAMPAGE 1 xn:align(128)> DARAMPAGE 1 h:align(128)> DARAMPAGE 1 .vectors:> VECSPAGE 0*vectors.asm*.title "vectors.asm".ref_c_int00.sect".

34、vectors"B_c_int00.end濾波器仿真波形如下圖所示:濾波器輸入數(shù)據(jù)如下:輸入數(shù)據(jù)經(jīng)濾波器處理后為方波信號,如下:圖18.濾波器仿真此濾波器參數(shù):八階FIR低通濾波器,截止頻率0.8,采用的循環(huán)緩沖區(qū)法設(shè)計(jì),經(jīng)CCS仿真后得方波信號。2FSK解調(diào)主程序 2FSK延時(shí)相乘非相干解調(diào)測試程序,相關(guān)參數(shù)如下: Fc=24kHz,F0=16KHz,F1=32KHz,Fs=192Khz八階系數(shù)對稱的FIR濾波器N=8,h(n)=h(N-1-n)y(n)=h0*x(n)+x(n-7)+h1*x(n-1)+x(n-6)+h2*x(n-2)+x(n-5)+h3*x(n-3)+x(n-4

35、)程序如下:* FSK_DEM.ASM *.title"FSK_DEM. asm".mmregs.def_c_int00DataNum .set196DATA_THD .set4000H;幅度判決的閾值DEC_PASS_NUM .set2;DEC_BYPASS_NUM .set12-DEC_PASS_NUM;.bssy,1.bssx_disp,1.bssZ_disp,1.bssLPFOUT,1;濾波器輸出.bssDEC_DATA_CURR,1;當(dāng)前采樣點(diǎn)判決值.bssDEC_DATA_BE,1;前一次采樣點(diǎn)判決值.bssDEC_NUM,1;判決用計(jì)數(shù)器.bssDEC_NUM

36、_X,1;周期計(jì)數(shù)器.bssDEC_DONE,1;x_new.usect"DATA1",4x_old.usect"DATA2",4Y_OUT.usect"DATA3",32;給轉(zhuǎn)換結(jié)果開辟了一個(gè)存儲(chǔ)區(qū),實(shí)際做的時(shí)候需要進(jìn)行串轉(zhuǎn)并;outputdata.usect"DATA3",DataNumfilterdata.usect "filter_vars",DataNumsize.set4.datainputdata:*;噪音* .WORD 18295,-6420,19759,-29306,6924,

37、-10388,12834,12498.WORD -7547,0,-18295,6420,6084,10388,0,-8530.WORD -12834,6420,622,18918,-7547,-6420,-6084,-10388.WORD 25843,-10388,19759,-25338,-622,0,622,25338.WORD -19759,10388,-25843,10388,6084,6420,7547,-18918.WORD -622,-6420,12834,8530,0,-10388,-6084,-6420.WORD 18295,0,7547,-12498,-12834,1038

38、8,-6924,29306.WORD -19759,6420,-18295,0,18295,-6420,19759,-29306*F0和F1的余弦表*F032767,28508,16384,0,-16384,-28508,-32767,-28508,-16384, 0,16384,28508,*F1:28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508, 0,28508,*以下數(shù)據(jù)表示"001100110011"*.WORD32767,28508,16384,0,-16384,-28508,-32767,-28508,-16

39、384, 0,16384,28508.WORD28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508, 0,28508.WORD32767,28508,16384,0,-16384,-28508,-32767,-28508,-16384, 0,16384,28508.WORD32767,28508,16384,0,-16384,-28508,-32767,-28508,-16384, 0,16384,28508.WORD28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508, 0,28508.WOR

40、D32767,28508,16384,0,-16384,-28508,-32767,-28508,-16384, 0,16384,28508.WORD28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508, 0,28508.WORD28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508, 0,28508.WORD32767,28508,16384,0,-16384,-28508,-32767,-28508,-16384, 0,16384,28508.WORD28508,0,-28508,-28508,0,28508,28508,0,-28508,-28508, 0,28508COEF.word18*32768/100000,26316*32768/100000.word19272*32768/100000,22079*32768/100000.text_c_int00:LD#x_new,DP;設(shè)置數(shù)據(jù)存儲(chǔ)器頁指針的起始位置SSBXFRCT;小數(shù)乘法*

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論