第7章集成觸發(fā)器16.3_第1頁(yè)
第7章集成觸發(fā)器16.3_第2頁(yè)
第7章集成觸發(fā)器16.3_第3頁(yè)
第7章集成觸發(fā)器16.3_第4頁(yè)
第7章集成觸發(fā)器16.3_第5頁(yè)
已閱讀5頁(yè),還剩60頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、1第第7 7章章 集成觸發(fā)器集成觸發(fā)器 FF重點(diǎn)重點(diǎn):7.3 節(jié)節(jié)22 22022-3-16 觸發(fā)器就是一種具有記憶功能,能夠存儲(chǔ)一位二進(jìn)制觸發(fā)器就是一種具有記憶功能,能夠存儲(chǔ)一位二進(jìn)制數(shù)字信息的雙穩(wěn)態(tài)電路。數(shù)字信息的雙穩(wěn)態(tài)電路。 按邏輯功能特性,觸發(fā)器可分為:按邏輯功能特性,觸發(fā)器可分為:RS 觸發(fā)器、觸發(fā)器、D 觸觸發(fā)器發(fā)器、JK 觸發(fā)器觸發(fā)器、T 觸發(fā)器、觸發(fā)器、T 觸發(fā)器。觸發(fā)器。 按電路組成結(jié)構(gòu),觸發(fā)器可分為:基本觸發(fā)器、鐘控按電路組成結(jié)構(gòu),觸發(fā)器可分為:基本觸發(fā)器、鐘控觸發(fā)器、主從觸發(fā)器和觸發(fā)器、主從觸發(fā)器和邊沿觸發(fā)器邊沿觸發(fā)器。集成觸發(fā)器概述集成觸發(fā)器概述重點(diǎn)重點(diǎn):邊沿邊沿 D

2、觸發(fā)器觸發(fā)器,邊沿邊沿 JK 觸發(fā)器觸發(fā)器 另外,按觸發(fā)方式不同,觸發(fā)器可分為:電平觸發(fā)器、另外,按觸發(fā)方式不同,觸發(fā)器可分為:電平觸發(fā)器、主從觸發(fā)器主從觸發(fā)器和和邊沿觸發(fā)器邊沿觸發(fā)器。3 觸發(fā)器有兩個(gè)(也只能有兩個(gè))穩(wěn)定的狀態(tài),用來(lái)表觸發(fā)器有兩個(gè)(也只能有兩個(gè))穩(wěn)定的狀態(tài),用來(lái)表示邏輯狀態(tài)的示邏輯狀態(tài)的 0 和和 1 ,或二進(jìn)制數(shù)的,或二進(jìn)制數(shù)的 0 和和 1 。 在輸入信號(hào)作用下,它可以從一個(gè)狀態(tài)轉(zhuǎn)換成另一個(gè)在輸入信號(hào)作用下,它可以從一個(gè)狀態(tài)轉(zhuǎn)換成另一個(gè)狀態(tài),或保持原有狀態(tài)不變,可以被設(shè)置成這兩個(gè)狀態(tài)中狀態(tài),或保持原有狀態(tài)不變,可以被設(shè)置成這兩個(gè)狀態(tài)中的任意一個(gè),即置的任意一個(gè),即置 0

3、或或 1 。 當(dāng)輸入信號(hào)消失后,所設(shè)置的狀態(tài)又能夠保持不變,當(dāng)輸入信號(hào)消失后,所設(shè)置的狀態(tài)又能夠保持不變,即具有記憶(存儲(chǔ))功能。即具有記憶(存儲(chǔ))功能。這是其主要特點(diǎn)這是其主要特點(diǎn)。觸發(fā)器有記憶功能,因此,觸發(fā)器有記憶功能,因此,由它構(gòu)成的由它構(gòu)成的時(shí)序電路時(shí)序電路在在某時(shí)刻的輸出不僅取決于該時(shí)刻的輸入,還與電路原來(lái)某時(shí)刻的輸出不僅取決于該時(shí)刻的輸入,還與電路原來(lái)狀態(tài)有關(guān)狀態(tài)有關(guān);而門電路無(wú)記憶功能,由它構(gòu)成的;而門電路無(wú)記憶功能,由它構(gòu)成的組合電路組合電路在某時(shí)刻的輸出完全取決于該時(shí)刻的輸入,與電路原來(lái)在某時(shí)刻的輸出完全取決于該時(shí)刻的輸入,與電路原來(lái)狀態(tài)無(wú)關(guān)。狀態(tài)無(wú)關(guān)。4 基本基本RS 觸

4、發(fā)器觸發(fā)器 (Basic Flip Flip,簡(jiǎn)寫,簡(jiǎn)寫FF) 是各種觸是各種觸發(fā)器中結(jié)構(gòu)最簡(jiǎn)單的一種。該觸發(fā)器電路可用兩個(gè)與非門或發(fā)器中結(jié)構(gòu)最簡(jiǎn)單的一種。該觸發(fā)器電路可用兩個(gè)與非門或兩個(gè)或非門通過(guò)交叉耦合構(gòu)成。它是構(gòu)成各種功能觸發(fā)器的兩個(gè)或非門通過(guò)交叉耦合構(gòu)成。它是構(gòu)成各種功能觸發(fā)器的基本單元?;締卧??;净?RS 觸發(fā)器電路結(jié)構(gòu)觸發(fā)器電路結(jié)構(gòu)57.1.1 基本基本RS觸發(fā)器電路組成和工作原理觸發(fā)器電路組成和工作原理 1. 電路圖和邏輯符號(hào)電路圖和邏輯符號(hào) 觸發(fā)器的觸發(fā)器的“0”態(tài):態(tài):Q0, Q1觸發(fā)器的觸發(fā)器的“1”態(tài):態(tài):Q1, Q0G1SDRD&G2QQ輸入端:輸入端:S

5、D、RD輸出端:輸出端:Q、 Q也稱激勵(lì)端。也稱激勵(lì)端。互補(bǔ)輸出?;パa(bǔ)輸出。觸發(fā)器有兩個(gè)狀態(tài):觸發(fā)器有兩個(gè)狀態(tài):6SDRDQQRS 當(dāng)輸入信號(hào)發(fā)生變化時(shí),觸發(fā)器當(dāng)輸入信號(hào)發(fā)生變化時(shí),觸發(fā)器從一個(gè)穩(wěn)定狀態(tài)轉(zhuǎn)換到另一個(gè)穩(wěn)定從一個(gè)穩(wěn)定狀態(tài)轉(zhuǎn)換到另一個(gè)穩(wěn)定狀態(tài),因此,引入狀態(tài),因此,引入兩個(gè)概念兩個(gè)概念:nnQ,Q現(xiàn)在狀態(tài)現(xiàn)在狀態(tài)(現(xiàn)態(tài)現(xiàn)態(tài))1n1nQ,Q下一狀態(tài)下一狀態(tài)(次態(tài)次態(tài))前穩(wěn)定狀態(tài)前穩(wěn)定狀態(tài)新穩(wěn)定狀態(tài)新穩(wěn)定狀態(tài)7DDSR ,1.nQ設(shè)設(shè) Qn 0, 11nQ則則Qn+1 =0, = 1 。nnnnQQ, QQ11稱觸發(fā)器處于稱觸發(fā)器處于保持保持(記憶)狀態(tài)。(記憶)狀態(tài)。觸發(fā)器狀態(tài)不變,即

6、觸發(fā)器狀態(tài)不變,即 11nQ設(shè)設(shè) Qn 1, 01nQ則則Qn+1 =1, = 0 。2. 基本觸發(fā)器工作原理基本觸發(fā)器工作原理G1SDRD&G2QQ= 11 常表示常表示無(wú)輸入信號(hào)無(wú)輸入信號(hào),因此,狀態(tài)不變。,因此,狀態(tài)不變。DDSR ,= 1 1 時(shí),時(shí),8= 0 1 時(shí),時(shí),DDSR ,2.1 011nnQ,Q 稱觸發(fā)器處于稱觸發(fā)器處于置置 0(復(fù)位)狀態(tài)。(復(fù)位)狀態(tài)。通常稱通常稱 RD 稱為稱為置置 0 端或復(fù)位(端或復(fù)位(RESET)端。)端。不論觸發(fā)器原來(lái)處于什么不論觸發(fā)器原來(lái)處于什么狀態(tài):狀態(tài): 其次態(tài)一定為其次態(tài)一定為 0 , 即即 1001低電平有效低電平有效G1S

7、DRD&G2QQ9= 1 0 時(shí),時(shí),DDSR ,3. 稱觸發(fā)器處于稱觸發(fā)器處于置置 1(置位)狀態(tài)。(置位)狀態(tài)。通常稱通常稱 SD 稱為稱為置置 1 端或置位(端或置位(SET)端。)端。不論觸發(fā)器原來(lái)處于什么不論觸發(fā)器原來(lái)處于什么狀態(tài):狀態(tài): 其次態(tài)一定為其次態(tài)一定為 1 , 即即 10100 111nnQ,Q低電平有效低電平有效G1SDRD&G2QQ10= 0 0 時(shí),時(shí),DDSR ,4. 此時(shí)破壞了觸發(fā)器正常工此時(shí)破壞了觸發(fā)器正常工作時(shí)的作時(shí)的互補(bǔ)輸出互補(bǔ)輸出關(guān)系,從而導(dǎo)關(guān)系,從而導(dǎo)致觸發(fā)器失效。而且還可能出致觸發(fā)器失效。而且還可能出現(xiàn)現(xiàn) “不定現(xiàn)象不定現(xiàn)象” 。因此

8、,這。因此,這種輸入情況是不允許出現(xiàn)的。種輸入情況是不允許出現(xiàn)的。0011 不定現(xiàn)象:不定現(xiàn)象: 同時(shí)由同時(shí)由 00 11 轉(zhuǎn)換時(shí)發(fā)生,轉(zhuǎn)換時(shí)發(fā)生, 即輸出狀態(tài)無(wú)法確定。即輸出狀態(tài)無(wú)法確定。DDSR ,G1SDRD&G2QQ1 111nnQ,Q11 綜上所述,基本綜上所述,基本RS觸發(fā)器具有置觸發(fā)器具有置 0、置、置 1 和保持的三種和保持的三種邏輯功能,通常稱邏輯功能,通常稱SD為低電平有效置為低電平有效置 1 端或置位端或置位(SET)端;端;RD稱為低電平運(yùn)行置稱為低電平運(yùn)行置 0 端或復(fù)位端或復(fù)位(RESET)端。端。 因此,基本因此,基本RS觸發(fā)器又稱為觸發(fā)器又稱為置位置位-

9、復(fù)位觸發(fā)器復(fù)位觸發(fā)器。 其其基本特性基本特性: 具有兩個(gè)穩(wěn)定狀態(tài),可分別用來(lái)表示二進(jìn)制數(shù)的具有兩個(gè)穩(wěn)定狀態(tài),可分別用來(lái)表示二進(jìn)制數(shù)的0和和1; 在外信號(hào)作用下,兩個(gè)穩(wěn)定狀態(tài)可相互轉(zhuǎn)換,外信號(hào)消在外信號(hào)作用下,兩個(gè)穩(wěn)定狀態(tài)可相互轉(zhuǎn)換,外信號(hào)消 失后,已轉(zhuǎn)換的狀態(tài)可長(zhǎng)期保留,因此,觸發(fā)器可用來(lái)失后,已轉(zhuǎn)換的狀態(tài)可長(zhǎng)期保留,因此,觸發(fā)器可用來(lái) 長(zhǎng)期保存一位二進(jìn)制信息。長(zhǎng)期保存一位二進(jìn)制信息。 狀態(tài)轉(zhuǎn)換時(shí)刻和方向同受輸入信號(hào)狀態(tài)轉(zhuǎn)換時(shí)刻和方向同受輸入信號(hào)RD、SD控制,為控制,為異步異步 時(shí)序電路時(shí)序電路。12 特性表特性表 描述描述 7.1.2 基本觸發(fā)器描述方法基本觸發(fā)器描述方法 1 觸發(fā)器的邏輯

10、功能通??梢杂糜|發(fā)器的邏輯功能通常可以用狀態(tài)轉(zhuǎn)移真值表狀態(tài)轉(zhuǎn)移真值表(狀(狀態(tài)表)、態(tài)表)、特征方程特征方程(狀態(tài)方程)、(狀態(tài)方程)、狀態(tài)轉(zhuǎn)移圖狀態(tài)轉(zhuǎn)移圖、激勵(lì)表激勵(lì)表和和工作波形工作波形等形式來(lái)描述。只要知道其中之一,便可知等形式來(lái)描述。只要知道其中之一,便可知觸發(fā)器的邏輯功能,而且可以很方便地得到其余幾種。觸發(fā)器的邏輯功能,而且可以很方便地得到其余幾種。 指觸發(fā)器次態(tài)與輸入信號(hào)和電路原有狀態(tài)(現(xiàn)態(tài))指觸發(fā)器次態(tài)與輸入信號(hào)和電路原有狀態(tài)(現(xiàn)態(tài))之間關(guān)系的真值表。之間關(guān)系的真值表。13100不允許不允許000111100111101100101100010Qn1QnSDRD不允許不允許00Q

11、n11101010Qn1SDRD簡(jiǎn)化狀態(tài)轉(zhuǎn)移表簡(jiǎn)化狀態(tài)轉(zhuǎn)移表 狀態(tài)轉(zhuǎn)移真值表:狀態(tài)轉(zhuǎn)移真值表:不定現(xiàn)象不定現(xiàn)象發(fā)生的時(shí)刻發(fā)生的時(shí)刻:輸入輸入00到到11 狀態(tài)轉(zhuǎn)移真值表是將觸發(fā)器的次態(tài)、現(xiàn)態(tài)以及輸入信號(hào)之間的邏輯狀態(tài)轉(zhuǎn)移真值表是將觸發(fā)器的次態(tài)、現(xiàn)態(tài)以及輸入信號(hào)之間的邏輯關(guān)系用表格的形式表示出來(lái)。關(guān)系用表格的形式表示出來(lái)。置置0置置1保持保持14110100RD SDQn00 01 11 1001nDDnQRSQ11DDRS(約束條件約束條件)100不允許不允許000111100111101100101100010Qn1QnSDRD基本觸發(fā)器特性表基本觸發(fā)器特性表 特征方程是描述觸發(fā)器邏輯功能特

12、征方程是描述觸發(fā)器邏輯功能的函數(shù)表達(dá)式。的函數(shù)表達(dá)式。兩個(gè)輸入端至少兩個(gè)輸入端至少一個(gè)端輸入一個(gè)端輸入“1”2特性方程特性方程 描述描述 15 狀態(tài)轉(zhuǎn)移圖是觸發(fā)器邏輯狀態(tài)轉(zhuǎn)移圖是觸發(fā)器邏輯功能的圖形表達(dá)方式。功能的圖形表達(dá)方式。100不允許不允許000111100111101100101100010Qn1QnSDRD基本觸發(fā)器特性表基本觸發(fā)器特性表3狀態(tài)轉(zhuǎn)換圖狀態(tài)轉(zhuǎn)換圖 01RD=1SD=0RD=0SD=1RD=SD=1RD=1SD=狀態(tài)轉(zhuǎn)換圖狀態(tài)轉(zhuǎn)換圖 描述描述 164時(shí)序圖時(shí)序圖 描述描述 DRDSQQ 工作波形又稱時(shí)序圖,它反映了觸發(fā)器輸出狀態(tài)隨工作波形又稱時(shí)序圖,它反映了觸發(fā)器輸出狀態(tài)

13、隨時(shí)間時(shí)間和和輸入信號(hào)輸入信號(hào)變化的規(guī)律,是實(shí)驗(yàn)中可觀察到的波形。變化的規(guī)律,是實(shí)驗(yàn)中可觀察到的波形。0101110110100101001111不定不定不定不定101000110101輸出波形確定輸出波形確定17波形分析舉例波形分析舉例 例例 設(shè)下圖中觸發(fā)器初始狀態(tài)為設(shè)下圖中觸發(fā)器初始狀態(tài)為 0,試對(duì)應(yīng)輸入波形,試對(duì)應(yīng)輸入波形 畫出畫出 Q 和和 Q 的波形。的波形。解:解:保持保持初態(tài)為初態(tài)為0,故,故保持為保持為0。置置 0 保持保持QQ置置 1QQSDRDSRSDRD18基本基本RS觸發(fā)器組成的觸發(fā)器組成的3人搶答電路人搶答電路 K為復(fù)位鍵,為復(fù)位鍵,KA、 KB、 KC為三人搶答按鈕

14、為三人搶答按鈕V&KAAW330SRKSRSRQA+5OAUW330W330KBKCQBQCFAFBFCGAGBGCOBUOCUDADBDC19 基本基本 RS 觸發(fā)器,只要輸入信號(hào)發(fā)生變化,其狀態(tài)觸發(fā)器,只要輸入信號(hào)發(fā)生變化,其狀態(tài)就會(huì)根據(jù)它的邏輯功能發(fā)生相應(yīng)的變化。但在數(shù)字系統(tǒng)就會(huì)根據(jù)它的邏輯功能發(fā)生相應(yīng)的變化。但在數(shù)字系統(tǒng)中,為協(xié)調(diào)各部分的動(dòng)作,常要求某些觸發(fā)器中,為協(xié)調(diào)各部分的動(dòng)作,常要求某些觸發(fā)器在同一時(shí)在同一時(shí)刻動(dòng)作刻動(dòng)作。為此,必須引入。為此,必須引入同步脈沖同步脈沖,使這些觸發(fā)器只在,使這些觸發(fā)器只在同步脈沖作用下才按輸入信號(hào)改變狀態(tài),而在沒有同步同步脈沖作用下才按輸入

15、信號(hào)改變狀態(tài),而在沒有同步脈沖輸入時(shí),觸發(fā)器狀態(tài)保持不變。通常把這個(gè)同步脈脈沖輸入時(shí),觸發(fā)器狀態(tài)保持不變。通常把這個(gè)同步脈沖稱為沖稱為時(shí)鐘脈沖時(shí)鐘脈沖,用,用 CP 表示。這種具有時(shí)鐘脈沖控制表示。這種具有時(shí)鐘脈沖控制的觸發(fā)器稱為的觸發(fā)器稱為時(shí)鐘觸發(fā)器時(shí)鐘觸發(fā)器,又稱為同步觸發(fā)器。,又稱為同步觸發(fā)器。 時(shí)鐘脈沖:是一個(gè)按一定電壓幅度,一定時(shí)間間隔時(shí)鐘脈沖:是一個(gè)按一定電壓幅度,一定時(shí)間間隔連續(xù)發(fā)出的脈沖信號(hào)。其參數(shù)包括周期和頻率。連續(xù)發(fā)出的脈沖信號(hào)。其參數(shù)包括周期和頻率。207.2.1 同步同步(鐘控鐘控) RS 觸發(fā)器觸發(fā)器 電路圖和電路圖和 邏輯符號(hào)邏輯符號(hào) 在基本在基本 RS 觸發(fā)器的輸

16、入端之前上加入觸發(fā)器的輸入端之前上加入 觸發(fā)導(dǎo)引電觸發(fā)導(dǎo)引電路路構(gòu)成了鐘控構(gòu)成了鐘控 RS 觸發(fā)器。觸發(fā)器。R 和和 S 為高電平有效的輸入端,為高電平有效的輸入端,CP 為高電平有效的時(shí)鐘控制端。為高電平有效的時(shí)鐘控制端。QQSRCP1S1RC1QQ&3GG4G1G2SCPSdRd&RLJ621 工作原理工作原理 由電路圖可知,基本由電路圖可知,基本 RS 觸發(fā)器觸發(fā)器的輸入為:的輸入為:CPRRCPSSDD 當(dāng)當(dāng)CP0時(shí)時(shí): SD1, RD 1。 G3 和和 G4 被封鎖,輸入信號(hào)被封鎖,輸入信號(hào) R 和和 S 不起作用?;静黄鹱饔??;?RS 觸觸發(fā)器狀態(tài)保持,發(fā)器狀態(tài)

17、保持,不工作不工作;當(dāng)當(dāng)CP1時(shí):時(shí): SDS,RD R G3和和G4 解除封鎖,將輸入信號(hào)解除封鎖,將輸入信號(hào)R 和和 S 取非后送至基取非后送至基本本 RS 觸發(fā)器的輸入端。觸發(fā)器可能發(fā)生狀態(tài)轉(zhuǎn)移,觸發(fā)器的輸入端。觸發(fā)器可能發(fā)生狀態(tài)轉(zhuǎn)移,是觸是觸發(fā)器的工作時(shí)間發(fā)器的工作時(shí)間。QQ&3GG4G1G2SCPSdRd&R22 特性特性 方程:方程:11DDnDDnRSQRSQ當(dāng)當(dāng)CP1時(shí):時(shí): SDS,RD R基本基本RS觸發(fā)器觸發(fā)器輸入輸入 S、R 仍然在約束仍然在約束01RSQRSQnn11RSQRSQnn同步同步RS觸發(fā)器觸發(fā)器即即 S和和R 不能同時(shí)為不能同時(shí)為 1 。2

18、3不允許不允許11Qn00110001Qn1SR 狀態(tài)轉(zhuǎn)移表狀態(tài)轉(zhuǎn)移表 狀態(tài)轉(zhuǎn)移真值表:狀態(tài)轉(zhuǎn)移真值表:不定現(xiàn)象發(fā)生的時(shí)刻:不定現(xiàn)象發(fā)生的時(shí)刻:輸入同時(shí)輸入同時(shí)11到到00不允許不允許00Qn11101010Qn1SDRD簡(jiǎn)化狀態(tài)轉(zhuǎn)移表簡(jiǎn)化狀態(tài)轉(zhuǎn)移表不定現(xiàn)象發(fā)生的時(shí)刻:不定現(xiàn)象發(fā)生的時(shí)刻:輸入同時(shí)輸入同時(shí)00到到11即:即: S = SD,R = RD 當(dāng)當(dāng)CP1時(shí):時(shí): SDS,RD R 所以,同步所以,同步RS觸發(fā)器也具有置觸發(fā)器也具有置0、置、置1和保持的三種邏輯和保持的三種邏輯功能,通常稱功能,通常稱S為高電平有效置為高電平有效置1端或置位端或置位(SET)端;端;R稱為高稱為高電平有

19、效置電平有效置0端或復(fù)位端或復(fù)位(RESET)端。端。24狀態(tài)轉(zhuǎn)移圖:狀態(tài)轉(zhuǎn)移圖:上沿觸發(fā)上沿觸發(fā) 在在CP = 1的期間接受輸入信號(hào)的的期間接受輸入信號(hào)的 改變而觸發(fā)的工作方式叫上沿觸發(fā)。改變而觸發(fā)的工作方式叫上沿觸發(fā)。 觸發(fā)方式:觸發(fā)方式:當(dāng)當(dāng)CP1時(shí):時(shí):S = SD,R = RD 01RD=1SD=0RD=0SD=1RD=SD=1RD=1SD=01R = 0, S = 1R = 1, S = 0R=S = 0R = 0S =高電平有效高電平有效25QQSRCP1S1RC1 工作波形(時(shí)序圖工作波形(時(shí)序圖) ):轉(zhuǎn)移轉(zhuǎn)移轉(zhuǎn)移轉(zhuǎn)移保持保持保持保持CP1時(shí),可能轉(zhuǎn)移;時(shí),可能轉(zhuǎn)移;CP =

20、 = 0時(shí),保持不變,維持時(shí),保持不變,維持CP = = 1 最后時(shí)刻的最后時(shí)刻的Q值。值。267.2.2 同步同步(鐘控鐘控) D 觸發(fā)器觸發(fā)器 電路結(jié)構(gòu):電路結(jié)構(gòu): 在同步在同步 R-S 觸發(fā)器觸發(fā)器的輸入端的輸入端 R 和和 S 之間連之間連接一個(gè)非門,使接一個(gè)非門,使 R 和和 S 互反。這樣除了時(shí)鐘控互反。這樣除了時(shí)鐘控制端之外,觸發(fā)器只有制端之外,觸發(fā)器只有一個(gè)輸入信號(hào),通常表一個(gè)輸入信號(hào),通常表示為示為 D,這種觸發(fā)器稱,這種觸發(fā)器稱為鐘控為鐘控 D 觸發(fā)器。觸發(fā)器。1DC1QQDCP1QQ&3GG4G1G2DSRCPSdRd 在由于在由于 R 和和 S 互反,不可能同時(shí)

21、為互反,不可能同時(shí)為1,D 觸發(fā)器觸發(fā)器沒有約束條件。且:沒有約束條件。且:S = D ,R = D 。27當(dāng)當(dāng)CP0時(shí):時(shí): SD1,RD 1 觸發(fā)器狀態(tài)保持;觸發(fā)器狀態(tài)保持; 特性方程:特性方程:當(dāng)當(dāng)CP1時(shí):時(shí):當(dāng)當(dāng)CP1時(shí):時(shí): SDD,RD D 觸發(fā)器狀態(tài)轉(zhuǎn)移。觸發(fā)器狀態(tài)轉(zhuǎn)移。 由于由于 D 觸發(fā)器的下一狀態(tài)始終和觸發(fā)器的下一狀態(tài)始終和 D 輸入一致,因輸入一致,因此,又稱此,又稱 D 觸發(fā)器為觸發(fā)器為鎖存器鎖存器或或延遲延遲觸發(fā)器。觸發(fā)器。nnQRSQ1同步同步RS觸發(fā)器觸發(fā)器DDQDQnn1同步同步D觸發(fā)器觸發(fā)器無(wú)約束無(wú)約束28 特性表和狀態(tài)轉(zhuǎn)換圖:特性表和狀態(tài)轉(zhuǎn)換圖:DQn1

22、Q 由狀態(tài)轉(zhuǎn)移表可見:由狀態(tài)轉(zhuǎn)移表可見:D 觸發(fā)觸發(fā)器的輸出完全由輸入信號(hào)確定,器的輸出完全由輸入信號(hào)確定,只有只有置置0和置和置1兩種邏輯功能兩種邏輯功能,無(wú)保持功能。無(wú)保持功能。CP D QnQn+1說(shuō)說(shuō) 明明0 QnCP=0時(shí)時(shí),維持現(xiàn)狀維持現(xiàn)狀100CP=0時(shí)時(shí),輸出狀態(tài)輸出狀態(tài)和和D相同。相同。11DQn+1001101D=1D=0D=0D=1297.2.3 同步同步(鐘控鐘控)JK觸發(fā)器觸發(fā)器 電路結(jié)構(gòu):電路結(jié)構(gòu): 在同步在同步RS觸發(fā)器的輸觸發(fā)器的輸出端與輸入端之間加入兩出端與輸入端之間加入兩條反饋電路,構(gòu)成鐘控條反饋電路,構(gòu)成鐘控JK觸發(fā)器。這是觸發(fā)器。這是克服同步克服同步RS

23、觸發(fā)器在觸發(fā)器在R=S=1時(shí)出現(xiàn)不時(shí)出現(xiàn)不定態(tài)定態(tài)的另一種方法。的另一種方法。LJ20QQ&3GG4G1G2JCPSdRd&K1KC1QQK CP1JJ當(dāng)當(dāng)CP=0時(shí),時(shí),G3、G4 封鎖,觸發(fā)器保持原狀態(tài)不變;封鎖,觸發(fā)器保持原狀態(tài)不變;當(dāng)當(dāng)CP=1時(shí),時(shí),G3、G4 解除封鎖,輸入解除封鎖,輸入J、K端的信號(hào)端的信號(hào) 可控制觸發(fā)器的狀態(tài)??煽刂朴|發(fā)器的狀態(tài)。30輸入輸入 J 和和 K 無(wú)約束無(wú)約束 特性方程:特性方程:nnnnnnnnnQKQJQQKQJQKQQJQ )( 11 nnnnDDQKQJKQQJRS當(dāng)當(dāng)CP1時(shí):時(shí): SDJ Qn,RD KQn11DDnDDnR

24、SQRSQQ31nnnQKQJQ1nnn1nQQ 1 Q1Q 1 :時(shí)當(dāng)例如KJ 由此可見,鐘控由此可見,鐘控 JK 觸發(fā)器除了具有置觸發(fā)器除了具有置 0、置、置 1 和保持的邏輯功能外,新增加了和保持的邏輯功能外,新增加了翻轉(zhuǎn)翻轉(zhuǎn)功能。功能。 特性表和狀態(tài)轉(zhuǎn)換圖:特性表和狀態(tài)轉(zhuǎn)換圖:CPJKQnQn+1說(shuō)說(shuō) 明明0Qn保保 持持100Qn保保 持持010置置 0101置置 111Qn翻翻 轉(zhuǎn)轉(zhuǎn)JKQn+100Qn01010111Qn01J = 1, K =J =, K = 1J = 0K =J = K = 0327.2.4 同步同步T 和和T 觸發(fā)器觸發(fā)器 1. 同步同步 T 觸發(fā)器觸發(fā)器

25、將鐘控將鐘控 JK 觸發(fā)器兩個(gè)觸發(fā)器兩個(gè)輸入端輸入端 J 和和 K 相連并改作相連并改作 T,作為輸入端,便構(gòu)成,作為輸入端,便構(gòu)成了鐘控了鐘控T觸發(fā)器。觸發(fā)器。1KC1QQK CP1JJTCP1TC1QQTCP當(dāng)當(dāng) CP1 時(shí):時(shí):nnnQKQJQ1 QnnnQTQTQ1 Qn1Qn0Qn+1T由此可見,鐘控由此可見,鐘控 T 觸發(fā)器只有保持和翻轉(zhuǎn)兩項(xiàng)功能。觸發(fā)器只有保持和翻轉(zhuǎn)兩項(xiàng)功能。01T = 1T=0T = 1T=033上沿觸發(fā)上沿觸發(fā):當(dāng)當(dāng)CP0時(shí),觸發(fā)器狀態(tài)保持;時(shí),觸發(fā)器狀態(tài)保持; 當(dāng)當(dāng)CP1時(shí),觸發(fā)器的狀態(tài)轉(zhuǎn)移;時(shí),觸發(fā)器的狀態(tài)轉(zhuǎn)移; 也稱為:計(jì)數(shù)器型觸發(fā)器也稱為:計(jì)數(shù)器型觸發(fā)

26、器; 二分頻計(jì)數(shù)器二分頻計(jì)數(shù)器。將輸入端將輸入端 J、K 相連并改作相連并改作1;nnnnQQKQJQ1CPQ2. 鐘控鐘控T觸發(fā)器觸發(fā)器注意:注意:實(shí)際生產(chǎn)的集成觸發(fā)器中沒有實(shí)際生產(chǎn)的集成觸發(fā)器中沒有T觸發(fā)器,需要使用時(shí)觸發(fā)器,需要使用時(shí) 利用利用 JK 觸發(fā)器或觸發(fā)器或 D 觸發(fā)器來(lái)改接。觸發(fā)器來(lái)改接。1KC1QQK CP1JJ 1 CP1TC1QQT=1 CP347.2.5 同步觸發(fā)器的問(wèn)題同步觸發(fā)器的問(wèn)題 鐘控觸發(fā)器均由鐘控觸發(fā)器均由 4 個(gè)與非門組成。個(gè)與非門組成。當(dāng)鐘控信號(hào)為低電平當(dāng)鐘控信號(hào)為低電平(CP=0)時(shí)觸發(fā)時(shí)觸發(fā)器不接收輸入激勵(lì)信號(hào),狀態(tài)保持器不接收輸入激勵(lì)信號(hào),狀態(tài)保持

27、不變;當(dāng)鐘控信號(hào)為高電平不變;當(dāng)鐘控信號(hào)為高電平(CP=1)時(shí)觸發(fā)器接收輸入激勵(lì)信號(hào),狀態(tài)時(shí)觸發(fā)器接收輸入激勵(lì)信號(hào),狀態(tài)發(fā)生轉(zhuǎn)移,稱這種鐘控方式為發(fā)生轉(zhuǎn)移,稱這種鐘控方式為電平電平觸發(fā)方式觸發(fā)方式。 對(duì)于這種觸發(fā)方式,前面討論時(shí)都沒有考慮在約定對(duì)于這種觸發(fā)方式,前面討論時(shí)都沒有考慮在約定電平期間,控制端的電平期間,控制端的輸入信號(hào)多次發(fā)生變化輸入信號(hào)多次發(fā)生變化的情況。的情況。QQ&3GG4G1G2JCPSdRd&K35CP1的持續(xù)時(shí)間過(guò)長(zhǎng),但的持續(xù)時(shí)間過(guò)長(zhǎng),但J、K沒有發(fā)生變化沒有發(fā)生變化 空翻現(xiàn)象空翻現(xiàn)象 為避免多次翻轉(zhuǎn)和空翻現(xiàn)象發(fā)生,為避免多次翻轉(zhuǎn)和空翻現(xiàn)象發(fā)生,CP1的

28、持續(xù)時(shí)間的持續(xù)時(shí)間 tCPH 應(yīng)當(dāng)限制。但是,不是根本的辦法。應(yīng)當(dāng)限制。但是,不是根本的辦法。鐘控鐘控 JK 觸發(fā)器的波形觸發(fā)器的波形CP1的持續(xù)時(shí)間過(guò)長(zhǎng),且的持續(xù)時(shí)間過(guò)長(zhǎng),且J、K發(fā)生變化發(fā)生變化 多次翻轉(zhuǎn)現(xiàn)象多次翻轉(zhuǎn)現(xiàn)象JK發(fā)生變化發(fā)生變化J=K=1(不變不變)CPJKQ36邊沿觸發(fā)器邊沿觸發(fā)器 僅僅在僅僅在時(shí)鐘時(shí)鐘 CP 的上升沿或下降沿的上升沿或下降沿時(shí)刻才時(shí)刻才接收輸入激勵(lì)信號(hào),并對(duì)其做出響應(yīng),完全克服了鐘接收輸入激勵(lì)信號(hào),并對(duì)其做出響應(yīng),完全克服了鐘控觸發(fā)器的控觸發(fā)器的多次翻轉(zhuǎn)現(xiàn)象多次翻轉(zhuǎn)現(xiàn)象和和空翻現(xiàn)象空翻現(xiàn)象。分類:分類:時(shí)鐘時(shí)鐘CP的上升沿(的上升沿(前沿前沿)觸發(fā))觸發(fā)電路

29、電路結(jié)構(gòu)結(jié)構(gòu)時(shí)鐘時(shí)鐘CP的下降沿(的下降沿(后沿后沿)觸發(fā))觸發(fā) 所以,在所以,在時(shí)鐘時(shí)鐘 CP = 0 或或 CP = 1 期間,以及在期間,以及在 CP的非約定跳變時(shí)刻,觸發(fā)器不接收輸入激勵(lì)信號(hào),當(dāng)?shù)姆羌s定跳變時(shí)刻,觸發(fā)器不接收輸入激勵(lì)信號(hào),當(dāng)然也就不存在觸發(fā)器輸出狀態(tài)的改變。因而,邊沿觸然也就不存在觸發(fā)器輸出狀態(tài)的改變。因而,邊沿觸發(fā)器是電氣性能最好,應(yīng)用最多,最實(shí)用的觸發(fā)器。發(fā)器是電氣性能最好,應(yīng)用最多,最實(shí)用的觸發(fā)器。邊沿觸發(fā)器才滿足了觸發(fā)器的主要特點(diǎn)邊沿觸發(fā)器才滿足了觸發(fā)器的主要特點(diǎn).377.3.1 邊沿邊沿 JK 觸發(fā)器觸發(fā)器 書上圖書上圖7-18所示為下降沿觸發(fā)的所示為下降沿觸

30、發(fā)的JK 觸發(fā)器邏輯電觸發(fā)器邏輯電路,它是利用內(nèi)部各路,它是利用內(nèi)部各邏輯門傳輸延遲時(shí)間差異邏輯門傳輸延遲時(shí)間差異構(gòu)成的。構(gòu)成的。 圖中兩個(gè)圖中兩個(gè)與或非門與或非門構(gòu)成基本構(gòu)成基本RS觸發(fā)器,兩個(gè)觸發(fā)器,兩個(gè)與非與非門門構(gòu)成觸發(fā)導(dǎo)引電路,構(gòu)成觸發(fā)導(dǎo)引電路,RD和和SD分別為分別為低電平低電平直接置直接置 0和置和置 1 輸入端,分別被稱為異步置輸入端,分別被稱為異步置 0 端和異步置端和異步置 1 端端,或稱清除端和置位端?;蚍Q清除端和置位端。 圖圖7-18 所示電路要實(shí)現(xiàn)正確的邏輯功能,必須保所示電路要實(shí)現(xiàn)正確的邏輯功能,必須保證兩個(gè)證兩個(gè)與非門的平均延遲時(shí)間大于基本與非門的平均延遲時(shí)間大于

31、基本RS觸發(fā)器的平觸發(fā)器的平均延遲時(shí)間均延遲時(shí)間,這一點(diǎn)在制造時(shí)一般已經(jīng)給予滿足。,這一點(diǎn)在制造時(shí)一般已經(jīng)給予滿足。38邊沿邊沿 JK 觸發(fā)器電路、符號(hào)觸發(fā)器電路、符號(hào)1SD1S 1&AB1CDR3GG4G2CPKJRDG1 1&QQ觸發(fā)導(dǎo)引電路基本RS觸發(fā)器QJQCP KSDRD異步端異步端低低電平有效電平有效QJQCP KSDRD異步端異步端高高電平有效電平有效39下降沿觸發(fā)下降沿觸發(fā)上升沿觸發(fā)上升沿觸發(fā)有效邊沿有效邊沿 JK 觸發(fā)器及特性方程觸發(fā)器及特性方程2CP出發(fā)出發(fā)的邊沿的邊沿JK 觸發(fā)器觸發(fā)器 QJQCP KC11K1JQJQCP KC11K1JCP出發(fā)出發(fā)的邊沿

32、的邊沿 JK 觸發(fā)器觸發(fā)器 Q n+1 = JQ n +KQ nCPQ n+1 = JQ n +KQ nCP40異步端低電平下降邊沿異步端低電平下降邊沿 JK 觸發(fā)器功能表觸發(fā)器功能表341QnQn1111010111101011QnQn001101011010Qn+1Qn+1KJCPSDRD輸出輸出輸輸 入入Q n+1 = JQ n +KQ nCP狀態(tài)方程狀態(tài)方程:CPJKQQ&RDSD邏輯符號(hào)邏輯符號(hào)下降沿觸發(fā)下降沿觸發(fā)集成邊沿集成邊沿 JK 觸發(fā)器觸發(fā)器74LS112442邊沿邊沿JK觸發(fā)器的波形:觸發(fā)器的波形:由圖可見,在由圖可見,在RD=SD=1時(shí),觸發(fā)器的次態(tài)僅僅取決于時(shí),

33、觸發(fā)器的次態(tài)僅僅取決于CP 到達(dá)到達(dá)前一時(shí)刻前一時(shí)刻J,K以及以及Qn的取值。的取值。動(dòng)作過(guò)程見下頁(yè)。動(dòng)作過(guò)程見下頁(yè)。01 0101001 1111 0清清零零543CPRDSDJKQ下降沿下降沿觸發(fā)的觸發(fā)的邊沿觸邊沿觸發(fā)器工發(fā)器工作過(guò)程作過(guò)程下降沿觸發(fā)失效下降沿觸發(fā)失效考核內(nèi)容之一考核內(nèi)容之一 LJ63447.3.2 邊沿邊沿 D 觸發(fā)器觸發(fā)器 上升沿觸發(fā)的維持上升沿觸發(fā)的維持-阻塞阻塞 D 觸發(fā)器電路如圖觸發(fā)器電路如圖7-22所示。所示。這個(gè)電路是在同步這個(gè)電路是在同步 D 觸發(fā)器基礎(chǔ)上,增加置觸發(fā)器基礎(chǔ)上,增加置0維持、置維持、置1維持、置維持、置0阻塞、置阻塞、置1阻塞四根反饋線構(gòu)成的

34、。阻塞四根反饋線構(gòu)成的。1電路結(jié)構(gòu)、邏輯符號(hào)和特性方程電路結(jié)構(gòu)、邏輯符號(hào)和特性方程 因此,維持因此,維持-阻塞阻塞 D 觸發(fā)器的邏輯功能與同步觸發(fā)器的邏輯功能與同步 D 觸發(fā)觸發(fā)器的功能相同,因此,特性表和真值表也相同。區(qū)別在于器的功能相同,因此,特性表和真值表也相同。區(qū)別在于維持維持-阻塞阻塞 D 觸發(fā)器只有在觸發(fā)器只有在 CP 上升沿到達(dá)時(shí)刻才有效。上升沿到達(dá)時(shí)刻才有效。 因此,維持因此,維持阻塞觸發(fā)器是利用了阻塞觸發(fā)器是利用了4條反饋線的直流條反饋線的直流反饋原理來(lái)實(shí)現(xiàn)邊沿觸發(fā)的。反饋原理來(lái)實(shí)現(xiàn)邊沿觸發(fā)的。(自學(xué)自學(xué))45&G2GG3G4G5G6Q3Q4Q5Q61L2L4L3L1

35、&DCPQQQDQCP1DC1上升沿觸發(fā)上升沿觸發(fā)Q n+1 = D CPQDQCP1DC1下降沿觸發(fā)下降沿觸發(fā)Q n+1 = D CP46執(zhí)行執(zhí)行 Qn+1 = D1111在在 CP 時(shí)刻時(shí)刻0011Qn111保持不變保持不變Qn011禁禁 用用不定態(tài)不定態(tài)00異步置異步置 1101異步置異步置 0010說(shuō)說(shuō) 明明Qn+1DCPSDRD具有異步端具有異步端邊沿邊沿 D 觸發(fā)器觸發(fā)器2集成邊沿集成邊沿 D 觸發(fā)器觸發(fā)器74LS74符號(hào)、功能表符號(hào)、功能表C11DQQRDSDCPD47011111001101011010Qn+1Qn+1DCPSDRD輸出輸出輸輸 入入邊沿邊沿 D 觸發(fā)器

36、功能表觸發(fā)器功能表維持阻塞維持阻塞 D 觸發(fā)器觸發(fā)器Q n+1 = D CP狀態(tài)方程狀態(tài)方程邏輯符號(hào)邏輯符號(hào)CPQQ&RDSDD上降沿觸發(fā)上降沿觸發(fā)48維持阻塞維持阻塞 D 觸發(fā)器的工作波形觸發(fā)器的工作波形 注意:在注意:在RD=SD=1時(shí),觸發(fā)器的次態(tài)僅僅取決于時(shí),觸發(fā)器的次態(tài)僅僅取決于CP 到達(dá)到達(dá)前一時(shí)刻前一時(shí)刻D的取值。的取值。動(dòng)作過(guò)程見下頁(yè)。動(dòng)作過(guò)程見下頁(yè)。CPRDSDD考核內(nèi)容之一考核內(nèi)容之一 LJ65Q49上學(xué)期考題上學(xué)期考題 已知輸入已知輸入A和和CP脈沖,對(duì)如圖所示電路脈沖,對(duì)如圖所示電路, 畫出畫出Q的輸出波形,設(shè)的輸出波形,設(shè)Q的初始狀態(tài)均的初始狀態(tài)均0。解:解:

37、AQAAQDnnCPA1D Q C1 Q&1CPCPACPDQn 1Q的輸出波形見下頁(yè)的輸出波形見下頁(yè)A50CP上升沿上升沿AQ2CPAQn151(1) 弄清弄清時(shí)鐘觸發(fā)沿時(shí)鐘觸發(fā)沿是上升沿還是下降沿?是上升沿還是下降沿?(2) 弄清有無(wú)異步輸入端?弄清有無(wú)異步輸入端?異步置異步置 0 端和異步置端和異步置 1 端端是是 低電平有效還是高電平有效?低電平有效還是高電平有效?(4) 邊沿觸發(fā)器的邏輯功能和特性方程與同步觸發(fā)器的邊沿觸發(fā)器的邏輯功能和特性方程與同步觸發(fā)器的相同,但觸發(fā)方式不一樣,因此,它們的邏輯功能相同,但觸發(fā)方式不一樣,因此,它們的邏輯功能和特性方程成立的時(shí)間不同。邊沿觸

38、發(fā)器的邏輯功和特性方程成立的時(shí)間不同。邊沿觸發(fā)器的邏輯功能和特性方程只在時(shí)鐘的上升沿能和特性方程只在時(shí)鐘的上升沿(或下降沿或下降沿)成立。成立。(3) 異步端不受時(shí)鐘異步端不受時(shí)鐘 CP 控制,將直接實(shí)現(xiàn)置控制,將直接實(shí)現(xiàn)置 0 或置或置 1。觸發(fā)器工作時(shí),應(yīng)保證異步端接非有效電平。觸發(fā)器工作時(shí),應(yīng)保證異步端接非有效電平。注意注意52 前面介紹了幾種邏輯功能不同的觸發(fā)器,但現(xiàn)在市場(chǎng)上前面介紹了幾種邏輯功能不同的觸發(fā)器,但現(xiàn)在市場(chǎng)上出售的集成觸發(fā)器大多是出售的集成觸發(fā)器大多是D觸發(fā)器和觸發(fā)器和JK觸發(fā)器。這是因?yàn)橛|發(fā)器。這是因?yàn)镈下觸發(fā)器對(duì)于單端信號(hào)輸入時(shí)使用最為方便,而下觸發(fā)器對(duì)于單端信號(hào)輸入

39、時(shí)使用最為方便,而JK觸發(fā)器觸發(fā)器的邏輯功能最為完善。實(shí)際工作中,我們經(jīng)常需要利用手中的邏輯功能最為完善。實(shí)際工作中,我們經(jīng)常需要利用手中現(xiàn)有的觸發(fā)器完成其他觸發(fā)器的邏輯?,F(xiàn)有的觸發(fā)器完成其他觸發(fā)器的邏輯。 觸發(fā)器轉(zhuǎn)換常用的方法有公式法和圖表法兩種。觸發(fā)器轉(zhuǎn)換常用的方法有公式法和圖表法兩種。 公式法轉(zhuǎn)換公式法轉(zhuǎn)換 分別寫出轉(zhuǎn)換前后觸發(fā)器的特性方程,分別寫出轉(zhuǎn)換前后觸發(fā)器的特性方程, 比較觸發(fā)器的特性方程,求出轉(zhuǎn)換電路的邏輯表達(dá)式,比較觸發(fā)器的特性方程,求出轉(zhuǎn)換電路的邏輯表達(dá)式, 畫出邏輯電路圖。畫出邏輯電路圖。53 JK D JK T 因此,令因此,令 J = K = D 已知:已知:Qn+1

40、 = JQn + KQn而而 Qn+1 = D = DQn + DQnQDQCPC11K1J1而而 Qn+1 = TQ + TQ 因此,令因此,令 J = K = TQTQCPC11K1J JK T154 D JK 已有已有 Qn+1 = D欲得欲得 Qn+1 = JQn + KQn因此,令因此,令nnQKQJD D TnQT 因此,令因此,令 D =1JKCP1DC1QQ&1 nQT 而而 Qn+1 = TQ + TQ = TCPQQ1DC1=1 D T?552. 圖表法轉(zhuǎn)換圖表法轉(zhuǎn)換根據(jù)期待觸發(fā)器的特性表和已有觸發(fā)器的驅(qū)動(dòng)表列出根據(jù)期待觸發(fā)器的特性表和已有觸發(fā)器的驅(qū)動(dòng)表列出轉(zhuǎn)換電路

41、的真值表轉(zhuǎn)換電路的真值表根據(jù)真值表求出轉(zhuǎn)換電路的邏輯表達(dá)式根據(jù)真值表求出轉(zhuǎn)換電路的邏輯表達(dá)式 畫出邏輯電路圖畫出邏輯電路圖 下面要求用下面要求用T觸發(fā)器實(shí)現(xiàn)觸發(fā)器實(shí)現(xiàn)JK觸發(fā)器。觸發(fā)器。56T-JK觸發(fā)器功能轉(zhuǎn)換表觸發(fā)器功能轉(zhuǎn)換表( ,)T J K QJKQJKQJKQJKQJQKQJQ KQ由表可得由表可得T轉(zhuǎn)換為轉(zhuǎn)換為JK的邏輯圖的邏輯圖JKQnQn+1T0000001101000110100110111101111000011011JQQCPK&1 1T T1C&57本章作業(yè)本章作業(yè)題題7-1 題題7-4題題7-5題題7-6題題7-7題題7-10題題7-1158一、填空題

42、一、填空題 (2分分) 3. JK觸發(fā)器的現(xiàn)態(tài)觸發(fā)器的現(xiàn)態(tài)Qn=1時(shí),在觸發(fā)器輸入時(shí),在觸發(fā)器輸入J和和K的的4種組合中,能使輸出種組合中,能使輸出Qn+1=1的輸入是的輸入是 J= , K= 和和J= , K= 。8. 在在 CP=1 期間激勵(lì)信號(hào)發(fā)生多次變化時(shí),主從期間激勵(lì)信號(hào)發(fā)生多次變化時(shí),主從 JK 觸發(fā)觸發(fā)器會(huì)出現(xiàn)器會(huì)出現(xiàn) 現(xiàn)象,而引入邊沿現(xiàn)象,而引入邊沿 JK 觸發(fā)器觸發(fā)器可以解決此問(wèn)題,試寫出上升沿觸發(fā)的可以解決此問(wèn)題,試寫出上升沿觸發(fā)的 JK 觸發(fā)器的特觸發(fā)器的特 征方程征方程 。一次翻轉(zhuǎn)一次翻轉(zhuǎn) Qn+1 n+1 = JQn n + KQn n CP6. 鐘控鐘控RS觸發(fā)器的狀態(tài)方程為觸發(fā)器的狀態(tài)方程為 ,約束,約束條件為條件為 。SR = 0Qn+1 n+1 = S + RQn n0 01 059二、單項(xiàng)選擇題二、單項(xiàng)選擇題 (2分

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論