EDA第三章原理圖輸入設(shè)計(jì)方法-定稿_第1頁(yè)
EDA第三章原理圖輸入設(shè)計(jì)方法-定稿_第2頁(yè)
EDA第三章原理圖輸入設(shè)計(jì)方法-定稿_第3頁(yè)
EDA第三章原理圖輸入設(shè)計(jì)方法-定稿_第4頁(yè)
EDA第三章原理圖輸入設(shè)計(jì)方法-定稿_第5頁(yè)
已閱讀5頁(yè),還剩10頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、黑龍江大學(xué)電子工程學(xué)院第三章 原理圖輸入設(shè)計(jì)方法EDA工具仍然保留著原理圖輸入的設(shè)計(jì)方式,可以利用原有的電路知識(shí)快速完成設(shè)計(jì),便于進(jìn)行節(jié)點(diǎn)仿真,還支持層次電路設(shè)計(jì)方式。一、 原理圖設(shè)計(jì)方法 二、1位全加器設(shè)計(jì)三、數(shù)字電子鐘設(shè)計(jì)四、利用LPM兆功能模塊的電路設(shè)計(jì)五、波形輸入設(shè)計(jì)黑龍江大學(xué)電子工程學(xué)院一、 原理圖的設(shè)計(jì)方法原理圖設(shè)計(jì)的內(nèi)容包括元件的引入和線的連接,在設(shè)計(jì)復(fù)雜的系統(tǒng)時(shí)候,也可以采用自頂向下的方法-將電路分成若干個(gè)相對(duì)獨(dú)立的模塊來分別設(shè)計(jì)。優(yōu)點(diǎn)在于運(yùn)行速度較高,容易仿真,調(diào)整容易,缺點(diǎn)是效率低。1、內(nèi)附邏輯函數(shù) 2、邏輯規(guī)則3、原理圖編輯工具4、原理圖編輯流程5、設(shè)計(jì)項(xiàng)目的處理6、設(shè)計(jì)

2、項(xiàng)目的校驗(yàn)7、器件編程黑龍江大學(xué)電子工程學(xué)院1、內(nèi)附邏輯函數(shù) :MAX+PLUS II包含常用的邏輯函數(shù),這些邏輯函數(shù)被稱為圖元(Primitive) 和符號(hào)(Symbol),也稱元件。共有4個(gè)子目錄中裝載著不用種類的邏輯函數(shù)文件。在設(shè)計(jì)原理圖時(shí)候,到相應(yīng)的子目錄中查找相應(yīng)的元件即可。prim子目錄中存放的是數(shù)電中的一些基本元件庫(kù)基本元件庫(kù),如AND、OR、 INPUT OUTPUT等元件。mf子目錄中存放的是一些中規(guī)模的器件庫(kù)中規(guī)模的器件庫(kù),如74系列的邏輯器件等。 由可編程與陣列和固定或陣列組成,熔絲編程方式,雙極性工藝,廣泛推廣。Mega_lpm子目錄中存儲(chǔ)的是較大并且可做參數(shù)設(shè)計(jì)的元件

3、較大并且可做參數(shù)設(shè)計(jì)的元件。Edif子目錄中存放的是一些符合一些符合EDIF(電子設(shè)計(jì)交互電子設(shè)計(jì)交互)格式的元件格式的元件。黑龍江大學(xué)電子工程學(xué)院2、邏輯規(guī)則引腳名稱:輸入輸出引腳的的名稱。命名規(guī)則是不超32個(gè)的字母數(shù)字和“/”,“ _ ”,“” 等,不區(qū)分大小寫,同一個(gè)設(shè)計(jì)文件中不能重復(fù)。節(jié)點(diǎn)名稱:各元件之間傳遞信號(hào)的線。命名和引腳相同。總線名稱: 2-256個(gè)節(jié)點(diǎn)的組合,要在名稱后面加m.n表示節(jié)點(diǎn)的編號(hào)。文件名稱:擴(kuò)展名為.gdf 文件名小于32個(gè)字符。項(xiàng)目名稱:項(xiàng)目名稱與最高層次的原理圖名稱一致。黑龍江大學(xué)電子工程學(xué)院3、原理圖編輯工具就是設(shè)計(jì)原理圖時(shí)候,經(jīng)常使用的一些工具按鈕。如選

4、擇工具文字工具畫正交線工具畫直線工具畫弧線工具畫圓工具放大工具縮小工具與窗口適配工具連接點(diǎn)接/點(diǎn)斷工具打開橡皮筋連接功能關(guān)閉橡皮筋連接功能黑龍江大學(xué)電子工程學(xué)院4、原理圖編輯流程建立文件夾 每個(gè)項(xiàng)目最好建立一個(gè)文件夾:注意不能是中文目錄進(jìn)入原理圖設(shè)計(jì)系統(tǒng):菜單FILE-NEW,選擇圖像編譯文件。輸入元件:先確定放置位置,然后菜單Symbol-Library 選擇元件元件的編輯:移動(dòng),旋轉(zhuǎn),刪除,復(fù)制,通過鼠標(biāo)拖拽,右鍵菜單連線:鼠標(biāo)選擇一個(gè)端口,按住左鍵移動(dòng)到另一個(gè)端口。命名:給各個(gè)引線端子命名,也可以給節(jié)點(diǎn)命名。總線:總線是一組相關(guān)的連線,就是給這組線命名。保存文件:文件另存到最初建立的文件

5、夾中。將當(dāng)前設(shè)計(jì)項(xiàng)目設(shè)置為工程文件。 使用菜單File-Project - Set Project to current File創(chuàng)建元件:當(dāng)元件檢查無誤后,可以使用菜單 File-Creat Default Symbol,在當(dāng)前目錄中生成一個(gè)元件.sym,以后設(shè)計(jì)時(shí)候可以直接作為元件來調(diào)用。黑龍江大學(xué)電子工程學(xué)院5、設(shè)計(jì)項(xiàng)目的處理MAX+PLUS II的編譯器是一個(gè)高速的自動(dòng)化的設(shè)計(jì)處理器,能完成對(duì)設(shè)計(jì)項(xiàng)目的編譯。能夠?qū)⒃O(shè)計(jì)文件轉(zhuǎn)換成器件編程、仿真、定時(shí)分析所需要的輸出文件,是本軟件系統(tǒng)的核心。項(xiàng)目編譯 啟動(dòng)編譯器編譯過程選擇器件全局項(xiàng)目綜合方式啟用設(shè)計(jì)規(guī)則檢查工具引線端子適配打開平面圖編輯

6、器選擇視圖選擇方式顯示最后一次編譯所生成的平面圖編輯引線端子黑龍江大學(xué)電子工程學(xué)院6、設(shè)計(jì)項(xiàng)目的校驗(yàn)MAX+PLUS II的設(shè)計(jì)項(xiàng)目的校驗(yàn)包括項(xiàng)目的仿真(Simulate)和定時(shí)分析(Timing Analysis)兩部分。仿真: 創(chuàng)建仿真波形文件.scf選擇欲預(yù)仿真的引線端子編譯輸入節(jié)點(diǎn)的仿真波形仿真分析仿真結(jié)果定時(shí)分析:?jiǎn)?dòng)定時(shí)分析工具傳播延時(shí)分析時(shí)序邏輯電路性能分析建立/保持時(shí)間分析黑龍江大學(xué)電子工程學(xué)院7、器件編程編程是指將編程數(shù)據(jù)放到具體的可編程器件中去。一般是將成功編譯和仿真后的一個(gè)項(xiàng)目編程到一個(gè)具體的可編程器件中進(jìn)行測(cè)試。MAX+PLUS II的編程器(Programmer)完成

7、對(duì)器件的編程工作。編程下載方式有ByteBlaster并行下載和ByteBlaster(MV)并行下載和ByteBlaster串行下載等。下面是ByteBlaster(MV)并行下載的下載例子。項(xiàng)目編譯:安裝ByteBlaster電纜打開編程器選擇編程硬件用JTAG或FLEX鏈在系統(tǒng)編程FPGA下載文件為.sof CPLD下載文件為.pof黑龍江大學(xué)電子工程學(xué)院第三章 原理圖輸入設(shè)計(jì)方法一、 原理圖設(shè)計(jì)方法二、1位全加器設(shè)計(jì)三、數(shù)字電子鐘設(shè)計(jì)四、利用LPM兆功能模塊的電路設(shè)計(jì)五、波形輸入設(shè)計(jì)黑龍江大學(xué)電子工程學(xué)院二、1位全加器設(shè)計(jì)通過對(duì)1位全加器的設(shè)計(jì)來掌握原理圖輸入方法,及層次化設(shè)計(jì)的方法。

8、1、建立文件夾 2、輸入設(shè)計(jì)項(xiàng)目和存盤:選擇prim文件夾加載各個(gè)元件,連線,編輯保存3、將設(shè)計(jì)項(xiàng)目設(shè)置成工程文件:將當(dāng)前項(xiàng)目設(shè)置為工程文件4、選擇目標(biāo)器件并編譯:選擇一個(gè)具體的芯片,并編譯5、時(shí)序仿真:建立波形測(cè)試文件,出入測(cè)試的節(jié)點(diǎn),編輯波形并仿真6、引腳鎖定:選擇并鎖定各個(gè)引腳,并重新編譯7、編程下載:連接計(jì)算機(jī)、下載電纜和目標(biāo)板,選擇下載方式,下載8、設(shè)計(jì)頂層文件:根據(jù)底層圖紙?jiān)O(shè)計(jì)頂層的圖紙,重新仿真下載。黑龍江大學(xué)電子工程學(xué)院二、1位全加器設(shè)計(jì)首先設(shè)計(jì)一個(gè)半加器。邏輯方程:co=abso=a b真值表a b so co0 0 0 01 0 1 00 1 1 01 1 0 1因?yàn)閟o端因?yàn)槭褂昧送蜷T,因此在一輸入端或輸出加了一個(gè)非門黑龍江大學(xué)電子工程學(xué)院二、1位全加器設(shè)計(jì)全加器-以半加器為元件設(shè)計(jì)的層次電路邏輯方程:cout=ab+ac+bcsum=ain bin Cin真值表ain bin cin sum cout0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1 因?yàn)閟o端因?yàn)槭褂昧送蜷T,因此在一輸入端或輸出加一個(gè)非門黑龍江大學(xué)電子工程學(xué)院第三章

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論