數(shù)字邏輯第一章_第1頁(yè)
數(shù)字邏輯第一章_第2頁(yè)
數(shù)字邏輯第一章_第3頁(yè)
數(shù)字邏輯第一章_第4頁(yè)
數(shù)字邏輯第一章_第5頁(yè)
已閱讀5頁(yè),還剩50頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 主講:主講: 劉潔群劉潔群 2022-4-102課程性質(zhì)與教學(xué)目標(biāo)課程性質(zhì)課程性質(zhì) 計(jì)算機(jī)各專業(yè)計(jì)算機(jī)各專業(yè)必修必修的重要的重要專業(yè)基礎(chǔ)課專業(yè)基礎(chǔ)課教學(xué)目標(biāo)教學(xué)目標(biāo) 了解組成數(shù)字計(jì)算機(jī)和其它數(shù)字系統(tǒng)的各了解組成數(shù)字計(jì)算機(jī)和其它數(shù)字系統(tǒng)的各種數(shù)字電路,掌握對(duì)數(shù)字系統(tǒng)硬件進(jìn)行分析、種數(shù)字電路,掌握對(duì)數(shù)字系統(tǒng)硬件進(jìn)行分析、設(shè)計(jì)和開發(fā)的基本技能。設(shè)計(jì)和開發(fā)的基本技能。 2022-4-103教學(xué)安排 教學(xué)時(shí)數(shù)教學(xué)時(shí)數(shù) : 46學(xué)時(shí)學(xué)時(shí) 參參 考考 書書 : 數(shù)字邏輯學(xué)習(xí)與解題指南數(shù)字邏輯學(xué)習(xí)與解題指南 歐陽(yáng)星明歐陽(yáng)星明 主編主編 華中科技大學(xué)出版社華中科技大學(xué)出版社 數(shù)字邏輯與數(shù)字系統(tǒng)(第三版)數(shù)字邏

2、輯與數(shù)字系統(tǒng)(第三版) 白中英白中英 主編主編 北京科學(xué)出版社北京科學(xué)出版社 20022022-4-104如何學(xué)好數(shù)字邏輯?一一.掌握課程特點(diǎn)掌握課程特點(diǎn)二二.重視課堂學(xué)習(xí)重視課堂學(xué)習(xí)三三.培養(yǎng)自學(xué)能力培養(yǎng)自學(xué)能力本課程是一門既抽象又具體的課程本課程是一門既抽象又具體的課程邏輯設(shè)計(jì)方法十分靈活邏輯設(shè)計(jì)方法十分靈活理論知識(shí)與實(shí)際應(yīng)用結(jié)合十分緊密理論知識(shí)與實(shí)際應(yīng)用結(jié)合十分緊密認(rèn)真聽(tīng)課認(rèn)真聽(tīng)課做好筆記做好筆記主動(dòng)思考主動(dòng)思考認(rèn)真閱讀教材內(nèi)容認(rèn)真閱讀教材內(nèi)容善于總結(jié)、歸納善于總結(jié)、歸納加強(qiáng)課后練習(xí)加強(qiáng)課后練習(xí)2022-4-105考 試 平時(shí)表現(xiàn)10% 課程論文10% 階段性考試30% 課程考試50%2

3、022-4-106 2022-4-107第一章 基本知識(shí) 本章知識(shí)要點(diǎn):本章知識(shí)要點(diǎn): 數(shù)字系統(tǒng)的基本概念數(shù)字系統(tǒng)的基本概念 ; 常用計(jì)數(shù)制及其轉(zhuǎn)換常用計(jì)數(shù)制及其轉(zhuǎn)換 ; 帶符號(hào)二進(jìn)制數(shù)的代碼表示帶符號(hào)二進(jìn)制數(shù)的代碼表示 ; 常用的幾種編碼常用的幾種編碼 。2022-4-108 數(shù)字系統(tǒng)數(shù)字系統(tǒng)是一個(gè)能對(duì)數(shù)字信號(hào)進(jìn)行加工、傳遞和存儲(chǔ)是一個(gè)能對(duì)數(shù)字信號(hào)進(jìn)行加工、傳遞和存儲(chǔ)的實(shí)體,它由實(shí)現(xiàn)各種功能的數(shù)字邏輯電路相互連接的實(shí)體,它由實(shí)現(xiàn)各種功能的數(shù)字邏輯電路相互連接而成。而成。1.1.1 數(shù)字系統(tǒng)數(shù)字通信數(shù)字通信數(shù)字城市數(shù)字城市數(shù)字流域數(shù)字流域數(shù)字地球數(shù)字地球2022-4-1091、 數(shù)字信號(hào)連續(xù)量

4、/模擬量數(shù)字量2022-4-1010模擬量/連續(xù)量:時(shí)間上連續(xù):任意時(shí)刻有一個(gè)相對(duì)的值。數(shù)值上連續(xù):可以是在一定范圍內(nèi)的任意值。例如:電壓、電流、溫度、聲音等。真實(shí)的世界是模擬的。缺點(diǎn):很難度量; 容易受噪聲的干擾; 難以保存。優(yōu)點(diǎn):用精確的值表示事物。模擬信號(hào):表示模擬量的信號(hào)。模擬電路:處理和傳輸模擬信號(hào)的電路。2022-4-1011數(shù)字量: 時(shí)間上離散:只在某些時(shí)刻有定義。 數(shù)值上離散:變量只能是有限集合的一個(gè)值,常用0、1二進(jìn)制數(shù)表示。 例如:開關(guān)通斷、電壓高低、電流有無(wú)。數(shù)字信號(hào)數(shù)字信號(hào):表示數(shù)字量:表示數(shù)字量 的信號(hào)的信號(hào)數(shù)字電路數(shù)字電路:處理和傳輸:處理和傳輸 數(shù)字信號(hào)的電路。數(shù)

5、字信號(hào)的電路。2022-4-1012例如例如, ,某控制系統(tǒng)框圖如下圖所示。某控制系統(tǒng)框圖如下圖所示。2022-4-10132、數(shù)字邏輯電路 數(shù)字電路 又稱為數(shù)字邏輯電路或者邏輯電路 由于數(shù)字電路的各種功能是通過(guò)邏輯運(yùn)算和邏輯判斷來(lái)實(shí)現(xiàn)的 用來(lái)處理數(shù)字信號(hào)的電子線路稱為數(shù)字電路數(shù)字電路2022-4-10141、電路的基本工作信號(hào)是二值信號(hào)。、電路的基本工作信號(hào)是二值信號(hào)。2、對(duì)電路進(jìn)行研究時(shí),主要關(guān)心輸出和輸入之間的、對(duì)電路進(jìn)行研究時(shí),主要關(guān)心輸出和輸入之間的 邏輯關(guān)系。邏輯關(guān)系。3、電路結(jié)構(gòu)簡(jiǎn)單、功耗低、便于集成制造和系列化、電路結(jié)構(gòu)簡(jiǎn)單、功耗低、便于集成制造和系列化生產(chǎn)。產(chǎn)品價(jià)格低廉、使用

6、方便、通用性好。生產(chǎn)。產(chǎn)品價(jià)格低廉、使用方便、通用性好。4、由數(shù)字邏輯電路構(gòu)成的數(shù)字系統(tǒng)工作速度快、精、由數(shù)字邏輯電路構(gòu)成的數(shù)字系統(tǒng)工作速度快、精度高、功能強(qiáng)、可靠性好。度高、功能強(qiáng)、可靠性好。數(shù)字邏輯電路特點(diǎn):數(shù)字邏輯電路特點(diǎn):2022-4-1015 由于數(shù)字邏輯電路具有上述特點(diǎn),所以,數(shù)字由于數(shù)字邏輯電路具有上述特點(diǎn),所以,數(shù)字邏輯電路的應(yīng)用十分廣泛。邏輯電路的應(yīng)用十分廣泛。 隨著半導(dǎo)體技術(shù)和工藝的發(fā)展,出現(xiàn)了數(shù)字集隨著半導(dǎo)體技術(shù)和工藝的發(fā)展,出現(xiàn)了數(shù)字集成電路,集成電路發(fā)展十分迅速。成電路,集成電路發(fā)展十分迅速。 數(shù)字集成電路按照集成度的高低可分為小規(guī)模(數(shù)字集成電路按照集成度的高低可分

7、為小規(guī)模(SSI)、)、中規(guī)模(中規(guī)模(MSI)、大規(guī)模()、大規(guī)模(LSI)和超大規(guī)模()和超大規(guī)模(VLSI)幾)幾種類型。種類型。2022-4-1016數(shù)字集成電路數(shù)字集成電路是數(shù)字系統(tǒng)實(shí)現(xiàn)各種功能的是數(shù)字系統(tǒng)實(shí)現(xiàn)各種功能的物質(zhì)基礎(chǔ)物質(zhì)基礎(chǔ)數(shù)字集成電路的基本邏輯單元是數(shù)字集成電路的基本邏輯單元是邏輯門邏輯門2022-4-1017 類型類型1.1.2 數(shù)字電路的類型和研究方法記憶?記憶?組合邏輯電路組合邏輯電路時(shí)序邏輯電路時(shí)序邏輯電路有有無(wú)無(wú)統(tǒng)一的時(shí)鐘信號(hào)同步?統(tǒng)一的時(shí)鐘信號(hào)同步?有有無(wú)無(wú)同步時(shí)序電路同步時(shí)序電路異步時(shí)序電路異步時(shí)序電路2022-4-1018研究方法研究方法 分析 設(shè)計(jì) 對(duì)

8、一個(gè)現(xiàn)成的數(shù)字邏輯電路,研究它的工作性能和邏輯功能稱為分析分析; 根據(jù)提出的邏輯功能,在給定條件下構(gòu)造出實(shí)現(xiàn)預(yù)定功能的邏輯電路稱為邏輯設(shè)計(jì)邏輯設(shè)計(jì),或者邏輯綜邏輯綜合合。2022-4-10191.2 1.2 數(shù)制及其轉(zhuǎn)換數(shù)制及其轉(zhuǎn)換2022-4-10201.2.1 數(shù)制數(shù)制1、十進(jìn)制、十進(jìn)制=3 102 + 3 101+ 3 100+ 3 10-1 +3 10-2位權(quán)位權(quán) 權(quán)權(quán) 權(quán)權(quán) 權(quán)權(quán) 權(quán)權(quán)特點(diǎn):特點(diǎn):1)基數(shù)基數(shù)10,逢十進(jìn)一逢十進(jìn)一,即,即9+1=103)不同數(shù)位上的數(shù)具有不同的權(quán)值不同數(shù)位上的數(shù)具有不同的權(quán)值10i。 4)任意一個(gè)十進(jìn)制數(shù),都可按其權(quán)位任意一個(gè)十進(jìn)制數(shù),都可按其權(quán)位展

9、成多項(xiàng)式的形式展成多項(xiàng)式的形式(333.33)10位置計(jì)數(shù)法位置計(jì)數(shù)法按權(quán)展開式按權(quán)展開式(N)10=(Kn-1 K1 K0. K-1 K-m)101nmii10iK 2)有有0-9十個(gè)數(shù)字符號(hào)和小數(shù)點(diǎn),數(shù)碼十個(gè)數(shù)字符號(hào)和小數(shù)點(diǎn),數(shù)碼K i從從0-9=Kn-1 10n-1+K1101+K0100+K-1 10-1+K-m 10-m數(shù)基數(shù)基表示相對(duì)小數(shù)點(diǎn)表示相對(duì)小數(shù)點(diǎn)的位置的位置2022-4-1021二進(jìn)制二進(jìn)制任意進(jìn)制任意進(jìn)制 1)基數(shù))基數(shù)R,逢逢R進(jìn)一進(jìn)一, 3)不同數(shù)位上的數(shù)具有不同的權(quán)值)不同數(shù)位上的數(shù)具有不同的權(quán)值Ri。4) 任意一個(gè)任意一個(gè)R進(jìn)制數(shù),都可按其權(quán)位進(jìn)制數(shù),都可按其權(quán)位

10、展成多項(xiàng)式的形式展成多項(xiàng)式的形式(N)R=(Kn-1 K1 K0. K-1 K-m)2=Kn-1 Rn-1+K1R1+K0R0+K-1 R-1+K-m R-m1nmiiRiK2) 有有R個(gè)數(shù)字符號(hào)和小數(shù)點(diǎn)個(gè)數(shù)字符號(hào)和小數(shù)點(diǎn),數(shù)碼,數(shù)碼K i從從0(R-1)1)基數(shù))基數(shù)2,逢二進(jìn)一逢二進(jìn)一,即,即1+1=10 3)不同數(shù)位上的數(shù)具有不同的權(quán)值)不同數(shù)位上的數(shù)具有不同的權(quán)值2i。4)任意一個(gè)二進(jìn)制數(shù),都可按其權(quán)位)任意一個(gè)二進(jìn)制數(shù),都可按其權(quán)位展成多項(xiàng)式的形式展成多項(xiàng)式的形式(N)2=(Kn-1 K1 K0. K-1 K-m)2=Kn-1 2n-1+K121+K020+K-1 2-1+K-m 2

11、-m1nmii2iK2)有有0-1兩個(gè)數(shù)字符號(hào)和小數(shù)點(diǎn)兩個(gè)數(shù)字符號(hào)和小數(shù)點(diǎn),數(shù)碼,數(shù)碼K i從從0-12022-4-1022二進(jìn)制數(shù)的運(yùn)算規(guī)則如下:二進(jìn)制數(shù)的運(yùn)算規(guī)則如下: 加法規(guī)則加法規(guī)則 0+0=0 0+1=1 1+0=1 1+1=0 (進(jìn)位為進(jìn)位為1) 減法規(guī)則減法規(guī)則 0-0=0 1-0=1 1-1=0 0-1=1 (借位為借位為1) 乘法規(guī)則乘法規(guī)則 00=0 01=0 10=0 11=1 除法規(guī)則除法規(guī)則 01=0 11=12022-4-1023任意一個(gè)八進(jìn)制數(shù)任意一個(gè)八進(jìn)制數(shù)NN可以表示成可以表示成 (N)8 =(Kn-1Kn-2K1K0 .K-1K-2K-m)8 = Kn-18

12、n-1+Kn-28n-2 +K181+K080 +K-18-1+K-28-2+K-m8-m 其中:其中: n整數(shù)位數(shù);整數(shù)位數(shù);m小數(shù)位數(shù);小數(shù)位數(shù); Ki07中的任何一個(gè)字符,中的任何一個(gè)字符, -m i n-1 2022-4-1024 十六進(jìn)制數(shù)中有十六進(jìn)制數(shù)中有0、1、9、A、B、C、 D、E、F 共共16個(gè)數(shù)字符號(hào),個(gè)數(shù)字符號(hào), 其中 A10; B11; C12; D13 E14 F15進(jìn)位規(guī)律為進(jìn)位規(guī)律為“逢十六進(jìn)一逢十六進(jìn)一”2022-4-1025任意一個(gè)十六進(jìn)制數(shù)任意一個(gè)十六進(jìn)制數(shù)NN可以表示成可以表示成 (N)16 = (Kn-1Kn-2K1K0 .K-1K-2K-m)16 =

13、 Kn-116n-1+Kn-216n-2 +K1161+K0160 +K-116-1+K-216-2+K-m16-m 其中:其中: n整數(shù)位數(shù);整數(shù)位數(shù);m小數(shù)位數(shù);小數(shù)位數(shù); Ki09、AF中的任何一個(gè)字符,中的任何一個(gè)字符, -m i n-1 2022-4-1026十 二八 十六 十 二八 十六00000 0081000 10 810001 1191001 11 920010 2210 1010 12 A30011 3311 1011 13 B40100 4412 1100 14 C50101 5513 1101 15 D60110 6614 1110 16 E70111 7715 111

14、1 17 F常用數(shù)制對(duì)照表常用數(shù)制對(duì)照表16 10000 20 102022-4-10271.2.2 數(shù)數(shù) 制制 轉(zhuǎn)轉(zhuǎn) 換換十進(jìn)制十進(jìn)制非十進(jìn)制非十進(jìn)制非十進(jìn)制非十進(jìn)制十進(jìn)制十進(jìn)制二進(jìn)制二進(jìn)制八、十六進(jìn)制八、十六進(jìn)制八、十六進(jìn)制八、十六進(jìn)制二進(jìn)制二進(jìn)制十進(jìn)制與非十進(jìn)制間的轉(zhuǎn)換十進(jìn)制與非十進(jìn)制間的轉(zhuǎn)換非十進(jìn)制間的轉(zhuǎn)換非十進(jìn)制間的轉(zhuǎn)換2022-4-1028 整數(shù)部分的轉(zhuǎn)換整數(shù)部分的轉(zhuǎn)換十進(jìn)制轉(zhuǎn)換成二進(jìn)制十進(jìn)制轉(zhuǎn)換成二進(jìn)制除基取余法除基取余法:用目標(biāo)數(shù)制的:用目標(biāo)數(shù)制的基數(shù)基數(shù)(R=2R=2)去除)去除十十進(jìn)制數(shù)進(jìn)制數(shù),第一次第一次相除所得余數(shù)為目的數(shù)的相除所得余數(shù)為目的數(shù)的最低位最低位 K K0

15、0,將所得,將所得商商再除以再除以基數(shù)基數(shù),反復(fù)執(zhí)行上述過(guò)程,反復(fù)執(zhí)行上述過(guò)程,直到商為直到商為“0 0”,所得余數(shù)為目的數(shù)的所得余數(shù)為目的數(shù)的最高位最高位K Kn-1n-1。例:(例:(81)10=(?)(?)2得:(得:(8181)1010 = =(10100011010001)2 281402010520 2 2 2 2 2 2 21K00K10K20K31K40K51K612022-4-1029 小數(shù)部分的轉(zhuǎn)換小數(shù)部分的轉(zhuǎn)換十進(jìn)制轉(zhuǎn)換成二進(jìn)制十進(jìn)制轉(zhuǎn)換成二進(jìn)制乘基取整法乘基取整法:小數(shù)小數(shù)乘以目標(biāo)數(shù)制的乘以目標(biāo)數(shù)制的基數(shù)基數(shù)(R=2R=2),),第第一次一次相乘結(jié)果的相乘結(jié)果的整數(shù)整數(shù)

16、部分為目的數(shù)的部分為目的數(shù)的最高位最高位K K-1-1,將其小,將其小數(shù)部分再乘基數(shù)依次記下整數(shù)部分,反復(fù)進(jìn)行下去,數(shù)部分再乘基數(shù)依次記下整數(shù)部分,反復(fù)進(jìn)行下去,直直到小數(shù)部分為到小數(shù)部分為“0 0”,或滿足要求的或滿足要求的精度精度為止(即根據(jù)為止(即根據(jù)設(shè)備字長(zhǎng)限制,取有限位的近似值)。設(shè)備字長(zhǎng)限制,取有限位的近似值)。例:例: (0.650.65)1010 =( ? ) =( ? )2 2 要求精度為小數(shù)五位。要求精度為小數(shù)五位。0.65 2K-110.3 2K-200.6 2K-310.2 2K-400.4 2K-500.8由此得:由此得:(0.65)10=(0.10100)2綜合得:

17、綜合得:(81.65)10=(1010001.10100)2如如2-5,只要求到小只要求到小數(shù)點(diǎn)后第五位數(shù)點(diǎn)后第五位十進(jìn)制十進(jìn)制二進(jìn)制二進(jìn)制八進(jìn)制、十六進(jìn)制八進(jìn)制、十六進(jìn)制2022-4-1030非十進(jìn)制轉(zhuǎn)成十進(jìn)制非十進(jìn)制轉(zhuǎn)成十進(jìn)制方法方法:將相應(yīng)進(jìn)制的數(shù)按權(quán)展成多將相應(yīng)進(jìn)制的數(shù)按權(quán)展成多項(xiàng)式,按十進(jìn)制求和項(xiàng)式,按十進(jìn)制求和(F8C.B)(F8C.B)16 16 = = F F16162 2+8+816161 1+C+C16160 0+B+B1616-1-1= = 3840+128+12+0.68753840+128+12+0.6875=3980.6875=3980.6875例:2022-4-1

18、031非十進(jìn)制間的轉(zhuǎn)換非十進(jìn)制間的轉(zhuǎn)換 二進(jìn)制與八進(jìn)制間的轉(zhuǎn)換二進(jìn)制與八進(jìn)制間的轉(zhuǎn)換從從小數(shù)點(diǎn)小數(shù)點(diǎn)開始,將二進(jìn)制數(shù)的整數(shù)和小數(shù)部分開始,將二進(jìn)制數(shù)的整數(shù)和小數(shù)部分每每三位三位分為分為一組一組,不足不足三位的分別在整數(shù)的最高位三位的分別在整數(shù)的最高位前和小數(shù)的最低位后前和小數(shù)的最低位后加加“0 0”補(bǔ)足,然后每組用補(bǔ)足,然后每組用等值的八進(jìn)制碼替代,即得目的數(shù)等值的八進(jìn)制碼替代,即得目的數(shù)。例例8 8: 11010111.0100111 B = ? Q11010111.0100111 B = ? Q 11010111.0100111 B = 327.234 Q11010111.0100111

19、B = 327.234 Q11010111.0100111小數(shù)點(diǎn)為界小數(shù)點(diǎn)為界0007232342022-4-1032非十進(jìn)制間的轉(zhuǎn)換非十進(jìn)制間的轉(zhuǎn)換 二進(jìn)制與十六進(jìn)制間的轉(zhuǎn)換二進(jìn)制與十六進(jìn)制間的轉(zhuǎn)換從從小數(shù)點(diǎn)小數(shù)點(diǎn)開始,將二進(jìn)制數(shù)的整數(shù)和小數(shù)部分開始,將二進(jìn)制數(shù)的整數(shù)和小數(shù)部分每每四位四位分為分為一組一組,不足不足四位的分別在整數(shù)的最高位四位的分別在整數(shù)的最高位前和小數(shù)的最低位后前和小數(shù)的最低位后加加“0 0”補(bǔ)足,然后每組用補(bǔ)足,然后每組用等值的十六進(jìn)制碼替代,即得目的數(shù)等值的十六進(jìn)制碼替代,即得目的數(shù)。例例9 9: 111011.10101 B = ? H111011.10101 B =

20、 ? H 111011.10101 B = 3B.A8 H111011.10101 B = 3B.A8 H111011.10101小數(shù)點(diǎn)為界小數(shù)點(diǎn)為界00000B3A82022-4-10331.2.3 帶符號(hào)二進(jìn)制的代碼表示帶符號(hào)二進(jìn)制的代碼表示X X1 1 = = + + 1101101 1101101X X2 2 = = - - 11011011101101一、一、真值真值與與機(jī)器數(shù)機(jī)器數(shù)數(shù)符(數(shù)符(+/-+/-)+ +尾數(shù)尾數(shù)(數(shù)值的絕對(duì)值(數(shù)值的絕對(duì)值)符號(hào)(符號(hào)(+/-+/-)數(shù)碼化)數(shù)碼化 最高位:最高位:“0 0”表示表示“ + +”“1 1”表示表示“- -”二、二、帶符號(hào)二進(jìn)

21、制數(shù)的代碼表示帶符號(hào)二進(jìn)制數(shù)的代碼表示1. 1. 原碼原碼XX原:原:原碼原碼反碼反碼補(bǔ)碼補(bǔ)碼變形補(bǔ)碼變形補(bǔ)碼尾數(shù)部分的表示形式:尾數(shù)部分的表示形式:最高位:最高位:“0 0”表示表示“+ +”“1 1”表示表示“- -”符號(hào)位符號(hào)位+尾數(shù)部分(真值)尾數(shù)部分(真值)原碼的性質(zhì):原碼的性質(zhì): “0 0”有兩種表示形式有兩種表示形式+00+0000原原 = 000= 0000 0 而而 -00-0000原原 = 100= 1000 0 數(shù)值范圍:數(shù)值范圍: - -(2 2n n 1 1-1-1)XX原原+ +(2 2n-1n-1-1-1)如如n = 8n = 8,原碼范圍,原碼范圍1111111

22、1111111110111111101111111,數(shù)值范圍,數(shù)值范圍為為-127-127+127+127 符號(hào)位后的尾數(shù)即為真值的數(shù)值符號(hào)位后的尾數(shù)即為真值的數(shù)值2022-4-1034原碼的原碼的優(yōu)點(diǎn):優(yōu)點(diǎn): 簡(jiǎn)單易懂,求取方便;簡(jiǎn)單易懂,求取方便;缺點(diǎn):缺點(diǎn): 加、減運(yùn)算不方便。加、減運(yùn)算不方便。 當(dāng)進(jìn)行兩數(shù)加、減運(yùn)算時(shí),要根據(jù)運(yùn)算及參加運(yùn)算的兩個(gè)數(shù)的符號(hào)來(lái)確定是加還是減;如果是做減法,還需根據(jù)兩數(shù)的大小確定被減數(shù)和減數(shù),以及運(yùn)算結(jié)果的符號(hào)。顯然,這將增加運(yùn)算的復(fù)雜性。 為了克服原碼的缺點(diǎn),引入了為了克服原碼的缺點(diǎn),引入了反碼反碼和和補(bǔ)碼補(bǔ)碼。2022-4-10351.2.3 帶符號(hào)二進(jìn)制

23、的代碼表示帶符號(hào)二進(jìn)制的代碼表示2. 2. 反碼反碼XX反:反:符號(hào)位符號(hào)位+尾數(shù)部分尾數(shù)部分 反碼的性質(zhì)反碼的性質(zhì)正數(shù):尾數(shù)部分與真值形式相同正數(shù):尾數(shù)部分與真值形式相同負(fù)數(shù):尾數(shù)為真值數(shù)值部分按位取反負(fù)數(shù):尾數(shù)為真值數(shù)值部分按位取反 X X1 1 = +4 = +4X X2 2 = -4 = -4XX1 1 反反 = = 0 000001000000100XX2 2 反反 = = 1 1111101111110113、補(bǔ)碼補(bǔ)碼XX補(bǔ):補(bǔ):符號(hào)位符號(hào)位+尾數(shù)部分尾數(shù)部分正數(shù):尾數(shù)部分與真值同即正數(shù):尾數(shù)部分與真值同即XX補(bǔ)補(bǔ) = X= X原原負(fù)數(shù):負(fù)數(shù):尾數(shù)為真值數(shù)值部分按位取反加尾數(shù)為真值

24、數(shù)值部分按位取反加1 1即即XX補(bǔ)補(bǔ) = X= X反反 + + 1 1 “0 0”有兩種表示形式有兩種表示形式+00+0000反反 = 000= 0000 0 而而 -00-0000反反 = 111= 1111 1 數(shù)值范圍:數(shù)值范圍: - -(2 2n n 1 1-1-1)XX反反+ +(2 2n-1n-1-1-1)如如n = 8n = 8,反碼范圍,反碼范圍1000000001111111,數(shù)值范圍,數(shù)值范圍為為-127-127+127+127 符號(hào)位后的尾數(shù)是否為真值取決于符號(hào)位符號(hào)位后的尾數(shù)是否為真值取決于符號(hào)位2022-4-1036 “0 0”的補(bǔ)碼只有一種表示形式的補(bǔ)碼只有一種表示

25、形式 0000000 0 數(shù)值范圍:數(shù)值范圍:-2n-1XX補(bǔ)補(bǔ)+(2n-1-1)如如n = 8n = 8,補(bǔ)碼范圍,補(bǔ)碼范圍1000000001111111,數(shù)值范圍為數(shù)值范圍為-128+127 符號(hào)位后的尾數(shù)并不表示真值大小符號(hào)位后的尾數(shù)并不表示真值大小 用補(bǔ)碼進(jìn)行運(yùn)算時(shí),兩數(shù)補(bǔ)碼之和等于兩用補(bǔ)碼進(jìn)行運(yùn)算時(shí),兩數(shù)補(bǔ)碼之和等于兩數(shù)和之補(bǔ)碼,即數(shù)和之補(bǔ)碼,即 X X1 1 補(bǔ)補(bǔ)+X+X2 2 補(bǔ)補(bǔ) = X= X1 1+X+X2 2 補(bǔ)補(bǔ)補(bǔ)碼的性質(zhì):補(bǔ)碼的性質(zhì):1.2.3 帶符號(hào)二進(jìn)制的代碼表示帶符號(hào)二進(jìn)制的代碼表示2022-4-1037例例: 已知已知X X1 1 = -1110 B = -1

26、110 B , X X2 2 = +0110 B = +0110 B , 求求 X X1 1+ X+ X2 2 = = ? X X1 1 補(bǔ)補(bǔ) = 1 0010 -1110B= 1 0010 -1110B + +) XX2 2 補(bǔ)補(bǔ) = 0 0110 +1000B= 0 0110 +1000B X X1 1+X+X2 2 補(bǔ)補(bǔ) = 1 1000 -1000B= 1 1000 -1000B故得故得 XX1 1+X+X2 2 補(bǔ)補(bǔ) = 11000 = 11000 即即X X1 1+ X+ X2 2 = -1000 B= -1000 B補(bǔ)碼的性質(zhì):補(bǔ)碼的性質(zhì):1.2.3 帶符號(hào)二進(jìn)制的代碼表示帶符號(hào)

27、二進(jìn)制的代碼表示2022-4-1038補(bǔ)碼的性質(zhì):補(bǔ)碼的性質(zhì):1.2.3 帶符號(hào)二進(jìn)制的代碼表示帶符號(hào)二進(jìn)制的代碼表示雙符號(hào)位:正數(shù)雙符號(hào)位:正數(shù)- - “0000” 負(fù)數(shù)負(fù)數(shù)- - “1111”符號(hào)位符號(hào)位+ 尾數(shù)尾數(shù)應(yīng)用:應(yīng)用:兩個(gè)符號(hào)位(兩個(gè)符號(hào)位(S S1 1S S0 0)都作為數(shù)值一起參)都作為數(shù)值一起參與運(yùn)算,運(yùn)算結(jié)果的符號(hào)如兩個(gè)符號(hào)位與運(yùn)算,運(yùn)算結(jié)果的符號(hào)如兩個(gè)符號(hào)位相同,結(jié)果正確;不同則溢出相同,結(jié)果正確;不同則溢出。判斷是否有溢出判斷是否有溢出方法:方法:4 4、變形補(bǔ)碼、變形補(bǔ)碼XX變補(bǔ):變補(bǔ):例:已知例:已知X X1 1 = 48= 48,X X2 2 = 31 = 31

28、 求求X X1 1 + X+ X2 2 = = ? X X1 1 = +48 X= +48 X1 1 變補(bǔ)變補(bǔ)= 00 110000 = 00 110000 + +)X X2 2 = +31 += +31 +)XX2 2 變補(bǔ)變補(bǔ)= 00 011111= 00 011111 X X1 1 + X+ X2 2 = +79 X = +79 X1 1+ X+ X2 2 變補(bǔ)變補(bǔ) = 01 001111= 01 0011112022-4-1039 采用反碼進(jìn)行加、減運(yùn)算時(shí),無(wú)論進(jìn)行兩數(shù)相加采用反碼進(jìn)行加、減運(yùn)算時(shí),無(wú)論進(jìn)行兩數(shù)相加還是兩數(shù)相減,均可通過(guò)加法實(shí)現(xiàn)。還是兩數(shù)相減,均可通過(guò)加法實(shí)現(xiàn)。 加、減

29、運(yùn)算規(guī)則如下:加、減運(yùn)算規(guī)則如下: X1+X2反 =X1反 + X2反 X1-X2反 =X1反 +-X2反 運(yùn)算時(shí),符號(hào)位和數(shù)值位一樣參加運(yùn)算。運(yùn)算時(shí),符號(hào)位和數(shù)值位一樣參加運(yùn)算。當(dāng)符當(dāng)符號(hào)位有進(jìn)位產(chǎn)生時(shí),應(yīng)將進(jìn)位加到運(yùn)算結(jié)果的最號(hào)位有進(jìn)位產(chǎn)生時(shí),應(yīng)將進(jìn)位加到運(yùn)算結(jié)果的最低位,才能得到最后結(jié)果。低位,才能得到最后結(jié)果。2022-4-1040 例如,若X1=+0.1110,X2=+0.0101,則求X1-X2可通過(guò)反碼相加實(shí)現(xiàn)。運(yùn)算如下:X1-X2反=X1反+-X2反=0.1110+1.1010 即X1-X2反=0.1001。由于結(jié)果的符號(hào)位為0,表示是正數(shù),故X1-X2=+0.10012022

30、-4-1041 采用補(bǔ)碼進(jìn)行加、減運(yùn)算時(shí),可以將加、減運(yùn)算均通過(guò)加法實(shí)現(xiàn),運(yùn)算規(guī)則如下:運(yùn)算規(guī)則如下: X1 + X2補(bǔ)補(bǔ) =X1補(bǔ)補(bǔ) +X2補(bǔ)補(bǔ) X1 - X2補(bǔ)補(bǔ) =X1補(bǔ)補(bǔ) +-X2補(bǔ)補(bǔ) 運(yùn)算時(shí),符號(hào)位和數(shù)值位一樣參加運(yùn)算,符號(hào)位和數(shù)值位一樣參加運(yùn)算,若符號(hào)位有進(jìn)位產(chǎn)生,則應(yīng)將進(jìn)位丟掉后才若符號(hào)位有進(jìn)位產(chǎn)生,則應(yīng)將進(jìn)位丟掉后才得到正確結(jié)果。得到正確結(jié)果。2022-4-1042 例如,若X1=-1001,X2=+0011,則采用補(bǔ)碼求X1-X2的運(yùn)算如下: X1-X2補(bǔ)=X1補(bǔ)+-X2補(bǔ)=10111+11101 10111 +11101 丟掉110100 即:X1-X2補(bǔ)=10100由于結(jié)

31、果的符號(hào)位為1,表示是負(fù)數(shù),故X1-X2=-1100 注意:補(bǔ)碼還原成真值時(shí),應(yīng)對(duì)數(shù)值位變反加注意:補(bǔ)碼還原成真值時(shí),應(yīng)對(duì)數(shù)值位變反加1。顯然,采用補(bǔ)碼進(jìn)行加、減運(yùn)算最方便。顯然,采用補(bǔ)碼進(jìn)行加、減運(yùn)算最方便。二進(jìn)制代碼:具有特定意義的二進(jìn)制數(shù)碼。編碼:代碼的編制過(guò)程。 BCD碼:用一個(gè)四位二進(jìn)制代碼表示一位十進(jìn)制數(shù)字的編碼方法。 BCD碼既有二進(jìn)制的形式,又有十進(jìn)制的特點(diǎn);常用的BCD碼有8421碼、5421碼、2421碼和余3碼。1. 二十進(jìn)制編碼(BCD碼)2022-4-1044十進(jìn)制數(shù)8421碼5421碼余3碼00000000000111000100010100200100010010130011001101104010001000111501011000100060110100110017011110101010810001011101191001110011002022-4-1045(1)8421碼l選取00001001表示十進(jìn)制數(shù)09。l按自然順序的二進(jìn)制數(shù)表示所對(duì)應(yīng)的十進(jìn)制數(shù)字。l是有權(quán)碼,從高位到低位的權(quán)依次為8、4、2、1,故稱為8421碼。l10101111等六種狀態(tài)是不用的,稱為禁用碼。例:(1985)10 =(0001 1001 1000

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論